OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.storage/] [fifos/] [synchronizer/] [1.0/] [vhd/] [aif_read_top.vhd] - Blame information for rev 186

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-------------------------------------------------------------------------------
2
-- Title      : 
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : 
6
-- Author     : 
7
-- Created    : 04.01.2006
8
-- Last update: 04.01.2006
9
-- Description: 
10
-------------------------------------------------------------------------------
11
-- Copyright (c) 2006 
12
-------------------------------------------------------------------------------
13
-- Revisions  :
14
-- Date        Version  Author  Description
15
-- 04.01.2006  1.0      AK      Created
16
-------------------------------------------------------------------------------
17
 
18
library ieee;
19
use ieee.std_logic_1164.all;
20
use ieee.std_logic_arith.all;
21
use ieee.std_logic_unsigned.all;
22
-------------------------------------------------------------------------------
23
 
24
entity aif_read_top is
25
  generic (
26
    data_width_g : integer := 32
27
    );
28
  port (
29
    tx_clk      : in  std_logic;
30
    tx_rst_n    : in  std_logic;
31
    tx_data_in  : in  std_logic_vector(data_width_g-1 downto 0);
32
    tx_empty_in : in  std_logic;
33
    tx_re_out   : out std_logic;
34
 
35
    rx_clk       : in  std_logic;
36
    rx_rst_n     : in  std_logic;
37
    rx_empty_out : out std_logic;
38
    rx_re_in     : in  std_logic;
39
 
40
    rx_data_out : out std_logic_vector(data_width_g-1 downto 0)
41
 
42
    );
43
 
44
end aif_read_top;
45
 
46
-------------------------------------------------------------------------------
47
 
48
architecture structural of aif_read_top is
49
 
50
  component aif_read_out
51
    generic (
52
      data_width_g : integer := 32
53
      );
54
    port (
55
      clk       : in  std_logic;
56
      rst_n     : in  std_logic;
57
      a_we_in   : in  std_logic;
58
      ack_out   : out std_logic;
59
      empty_out : out std_logic;
60
      re_in     : in  std_logic;
61
      data_in   : in  std_logic_vector(data_width_g-1 downto 0);
62
      data_out  : out std_logic_vector(data_width_g-1 downto 0)
63
      );
64
  end component;
65
 
66
  -- component ports
67
  signal ack_from_rx : std_logic;
68
--  signal we_from_rx   : std_logic;
69
--  signal data_from_rx : std_logic_vector(data_width_g-1 downto 0);
70
 
71
  component aif_read_in
72
    generic (
73
      data_width_g : integer);
74
    port (
75
      clk      : in  std_logic;
76
      rst_n    : in  std_logic;
77
      empty_in : in  std_logic;
78
      re_out   : out std_logic;
79
      data_in  : in  std_logic_vector(data_width_g-1 downto 0);
80
      data_out : out std_logic_vector(data_width_g-1 downto 0);
81
      a_we_out : out std_logic;
82
      ack_in   : in  std_logic
83
      );
84
  end component;
85
 
86
  signal data_from_tx : std_logic_vector(data_width_g-1 downto 0);
87
  signal full_from_tx : std_logic;
88
  signal a_we_from_tx : std_logic;
89
 
90
begin  -- structural
91
 
92
  -- component instantiation
93
  DUT : aif_read_out
94
    generic map (
95
      data_width_g => data_width_g)
96
    port map (
97
      clk       => rx_clk,
98
      rst_n     => rx_rst_n,
99
      a_we_in   => a_we_from_tx,
100
      ack_out   => ack_from_rx,
101
      data_in   => data_from_tx,
102
      data_out  => rx_data_out,
103
      empty_out => rx_empty_out,
104
      re_in     => rx_re_in
105
      );
106
 
107
  aif_read_in_1 : aif_read_in
108
    generic map (
109
      data_width_g => data_width_g)
110
    port map (
111
      clk      => tx_clk,
112
      rst_n    => tx_rst_n,
113
      empty_in => tx_empty_in,
114
      data_in  => tx_data_in,
115
      data_out => data_from_tx,
116
      re_out   => tx_re_out,
117
      a_we_out => a_we_from_tx,
118
      ack_in   => ack_from_rx
119
      );
120
 
121
 
122
end structural;
123
 
124
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.