OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.storage/] [fifos/] [synchronizer/] [1.0/] [vhd/] [re_feeder.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-- Simple block to test Synchronizer, no real testbench included.
2
-- tested on FPGA.
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
use ieee.std_logic_arith.all;
7
use ieee.std_logic_unsigned.all;
8
 
9
entity re_feeder is
10
  generic (
11
    pulse_width_g : integer := 8
12
    );
13
  port (
14
    clk : in  std_logic;
15
    rst_n  : in  std_logic;
16
    re_out : out std_logic
17
    );
18
 
19
end re_feeder;
20
 
21
architecture rtl of re_feeder is
22
  signal counter_r : integer range 0 to pulse_width_g-1 ;
23
  signal re_r : std_logic;
24
begin  -- rtl
25
 
26
  re_out <= re_r;
27
 
28
  process (clk, rst_n)
29
  begin  -- process
30
    if rst_n = '0' then                 -- asynchronous reset (active low)
31
      counter_r <= 0;
32
      re_r <= '0';
33
 
34
    elsif clk'event and clk = '1' then  -- rising clock edge
35
      if counter_r = pulse_width_g-1 then
36
        re_r <= not re_r;
37
        counter_r <= 0;
38
      else
39
        re_r <= re_r;
40
        counter_r <= counter_r+1;
41
      end if;
42
    end if;
43
  end process;
44
 
45
 
46
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.