OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.storage/] [sdram_io.busdef/] [1.0/] [sdram_io.busdef.1.0.xml] - Blame information for rev 147

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
2 147 lanttu
3
4
5
6 145 lanttu
7
        TUT
8
        ip.hwp.storage
9
        sdram_io.busdef
10
        1.0
11
        true
12
        true
13

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.