OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.swp.api/] [openmcapi/] [1.0/] [libmcapi/] [shm/] [linux/] [kmod/] [Kbuild] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
ifneq ($(CONFIG_ARCH_MV78XX0)$(CONFIG_ARCH_FEROCEON_MV78XX0),)
2
mcomm_mv78xx0-objs := mv78xx0.o
3
obj-m += mcomm_mv78xx0.o
4
endif
5
 
6
ifneq ($(CONFIG_PPC_85xx),)
7
mcomm_mpc85xx-objs := mpc85xx.o
8
obj-m += mcomm_mpc85xx.o
9
endif
10
 
11
mcomm_loop-objs := loop.o
12
mcomm-objs := common.o compat_anon_inodes.o
13
 
14
obj-m += mcomm.o mcomm_dev.o mcomm_loop.o
15
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.