OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [altera_de_II_demo/] [1.0/] [quartus/] [altera_de_II_demo.qsf] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
# ----------------------------------------------------------- #
2
 
3
# Quartus project generated by Kactus2
4
# Date created 15:56:09 14.03.2012
5
 
6
# ----------------------------------------------------------- #
7
 
8
set_global_assignment -name TOP_LEVEL_ENTITY altera_de_II_demo
9
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "10.0 SP1"
10
set_global_assignment -name VHDL_FILE D:/user/ege/Svn/daci_ip/trunk/ip.hwp.accelerator/port_blinker/1.0/vhd/port_blinker.vhd
11
set_global_assignment -name VHDL_FILE D:/user/ege/Svn/daci_ip/trunk/ip.hwp.accelerator/sig_gen/1.0/vhd/sig_gen.vhd
12
set_global_assignment -name VHDL_FILE D:/user/ege/Svn/daci_ip/trunk/soc/altera_de_II_demo/1.0/vhd/altera_de_II_demo.vhd
13
set_location_assignment PIN_N26 -to SW[1]
14
set_location_assignment PIN_P25 -to SW[2]
15
set_location_assignment PIN_AE14 -to SW[3]
16
set_location_assignment PIN_AF14 -to SW[4]
17
set_location_assignment PIN_AD13 -to SW[5]
18
set_location_assignment PIN_AC13 -to SW[6]
19
set_location_assignment PIN_C13 -to SW[7]
20
set_location_assignment PIN_B13 -to SW[8]
21
set_location_assignment PIN_A13 -to SW[9]
22
set_location_assignment PIN_N1 -to SW[10]
23
set_location_assignment PIN_P1 -to SW[11]
24
set_location_assignment PIN_P2 -to SW[12]
25
set_location_assignment PIN_T7 -to SW[13]
26
set_location_assignment PIN_U3 -to SW[14]
27
set_location_assignment PIN_U4 -to SW[15]
28
set_location_assignment PIN_V1 -to SW[16]
29
set_location_assignment PIN_T6 -to DRAM_ADDR[0]
30
set_location_assignment PIN_V4 -to DRAM_ADDR[1]
31
set_location_assignment PIN_V3 -to DRAM_ADDR[2]
32
set_location_assignment PIN_W2 -to DRAM_ADDR[3]
33
set_location_assignment PIN_W1 -to DRAM_ADDR[4]
34
set_location_assignment PIN_U6 -to DRAM_ADDR[5]
35
set_location_assignment PIN_U7 -to DRAM_ADDR[6]
36
set_location_assignment PIN_U5 -to DRAM_ADDR[7]
37
set_location_assignment PIN_W4 -to DRAM_ADDR[8]
38
set_location_assignment PIN_W3 -to DRAM_ADDR[9]
39
set_location_assignment PIN_Y1 -to DRAM_ADDR[10]
40
set_location_assignment PIN_V5 -to DRAM_ADDR[11]
41
set_location_assignment PIN_AE2 -to DRAM_BA_0
42
set_location_assignment PIN_AE3 -to DRAM_BA_1
43
set_location_assignment PIN_AB3 -to DRAM_CAS_N
44
set_location_assignment PIN_AA6 -to DRAM_CKE
45
set_location_assignment PIN_AA7 -to DRAM_CLK
46
set_location_assignment PIN_AC3 -to DRAM_CS_N
47
set_location_assignment PIN_V6 -to DRAM_DQ[0]
48
set_location_assignment PIN_AA2 -to DRAM_DQ[1]
49
set_location_assignment PIN_AA1 -to DRAM_DQ[2]
50
set_location_assignment PIN_Y3 -to DRAM_DQ[3]
51
set_location_assignment PIN_Y4 -to DRAM_DQ[4]
52
set_location_assignment PIN_R8 -to DRAM_DQ[5]
53
set_location_assignment PIN_T8 -to DRAM_DQ[6]
54
set_location_assignment PIN_V7 -to DRAM_DQ[7]
55
set_location_assignment PIN_W6 -to DRAM_DQ[8]
56
set_location_assignment PIN_AB2 -to DRAM_DQ[9]
57
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
58
set_location_assignment PIN_AA4 -to DRAM_DQ[11]
59
set_location_assignment PIN_AA3 -to DRAM_DQ[12]
60
set_location_assignment PIN_AC2 -to DRAM_DQ[13]
61
set_location_assignment PIN_AC1 -to DRAM_DQ[14]
62
set_location_assignment PIN_AA5 -to DRAM_DQ[15]
63
set_location_assignment PIN_AD2 -to DRAM_LDQM
64
set_location_assignment PIN_Y5 -to DRAM_UDQM
65
set_location_assignment PIN_AB4 -to DRAM_RAS_N
66
set_location_assignment PIN_AD3 -to DRAM_WE_N
67
set_location_assignment PIN_AC18 -to FL_ADDR[0]
68
set_location_assignment PIN_AB18 -to FL_ADDR[1]
69
set_location_assignment PIN_AE19 -to FL_ADDR[2]
70
set_location_assignment PIN_AF19 -to FL_ADDR[3]
71
set_location_assignment PIN_AE18 -to FL_ADDR[4]
72
set_location_assignment PIN_AF18 -to FL_ADDR[5]
73
set_location_assignment PIN_Y16 -to FL_ADDR[6]
74
set_location_assignment PIN_AA16 -to FL_ADDR[7]
75
set_location_assignment PIN_AD17 -to FL_ADDR[8]
76
set_location_assignment PIN_AC17 -to FL_ADDR[9]
77
set_location_assignment PIN_AE17 -to FL_ADDR[10]
78
set_location_assignment PIN_AF17 -to FL_ADDR[11]
79
set_location_assignment PIN_W16 -to FL_ADDR[12]
80
set_location_assignment PIN_W15 -to FL_ADDR[13]
81
set_location_assignment PIN_AC16 -to FL_ADDR[14]
82
set_location_assignment PIN_AD16 -to FL_ADDR[15]
83
set_location_assignment PIN_AE16 -to FL_ADDR[16]
84
set_location_assignment PIN_AC15 -to FL_ADDR[17]
85
set_location_assignment PIN_AB15 -to FL_ADDR[18]
86
set_location_assignment PIN_AA15 -to FL_ADDR[19]
87
set_location_assignment PIN_Y15 -to FL_ADDR[20]
88
set_location_assignment PIN_Y14 -to FL_ADDR[21]
89
set_location_assignment PIN_V17 -to FL_CE_N
90
set_location_assignment PIN_W17 -to FL_OE_N
91
set_location_assignment PIN_AD19 -to FL_DQ[0]
92
set_location_assignment PIN_AC19 -to FL_DQ[1]
93
set_location_assignment PIN_AF20 -to FL_DQ[2]
94
set_location_assignment PIN_AE20 -to FL_DQ[3]
95
set_location_assignment PIN_AB20 -to FL_DQ[4]
96
set_location_assignment PIN_AC20 -to FL_DQ[5]
97
set_location_assignment PIN_AF21 -to FL_DQ[6]
98
set_location_assignment PIN_AE21 -to FL_DQ[7]
99
set_location_assignment PIN_AA18 -to FL_RST_N
100
set_location_assignment PIN_AA17 -to FL_WE_N
101
set_location_assignment PIN_AF10 -to HEX0[0]
102
set_location_assignment PIN_AB12 -to HEX0[1]
103
set_location_assignment PIN_AC12 -to HEX0[2]
104
set_location_assignment PIN_AD11 -to HEX0[3]
105
set_location_assignment PIN_AE11 -to HEX0[4]
106
set_location_assignment PIN_V14 -to HEX0[5]
107
set_location_assignment PIN_V13 -to HEX0[6]
108
set_location_assignment PIN_V20 -to HEX1[0]
109
set_location_assignment PIN_V21 -to HEX1[1]
110
set_location_assignment PIN_W21 -to HEX1[2]
111
set_location_assignment PIN_Y22 -to HEX1[3]
112
set_location_assignment PIN_AA24 -to HEX1[4]
113
set_location_assignment PIN_AA23 -to HEX1[5]
114
set_location_assignment PIN_AB24 -to HEX1[6]
115
set_location_assignment PIN_AB23 -to HEX2[0]
116
set_location_assignment PIN_V22 -to HEX2[1]
117
set_location_assignment PIN_AC25 -to HEX2[2]
118
set_location_assignment PIN_AC26 -to HEX2[3]
119
set_location_assignment PIN_AB26 -to HEX2[4]
120
set_location_assignment PIN_AB25 -to HEX2[5]
121
set_location_assignment PIN_Y24 -to HEX2[6]
122
set_location_assignment PIN_Y23 -to HEX3[0]
123
set_location_assignment PIN_AA25 -to HEX3[1]
124
set_location_assignment PIN_AA26 -to HEX3[2]
125
set_location_assignment PIN_Y26 -to HEX3[3]
126
set_location_assignment PIN_Y25 -to HEX3[4]
127
set_location_assignment PIN_U22 -to HEX3[5]
128
set_location_assignment PIN_W24 -to HEX3[6]
129
set_location_assignment PIN_U9 -to HEX4[0]
130
set_location_assignment PIN_U1 -to HEX4[1]
131
set_location_assignment PIN_U2 -to HEX4[2]
132
set_location_assignment PIN_T4 -to HEX4[3]
133
set_location_assignment PIN_R7 -to HEX4[4]
134
set_location_assignment PIN_R6 -to HEX4[5]
135
set_location_assignment PIN_T3 -to HEX4[6]
136
set_location_assignment PIN_T2 -to HEX5[0]
137
set_location_assignment PIN_P6 -to HEX5[1]
138
set_location_assignment PIN_P7 -to HEX5[2]
139
set_location_assignment PIN_T9 -to HEX5[3]
140
set_location_assignment PIN_R5 -to HEX5[4]
141
set_location_assignment PIN_R4 -to HEX5[5]
142
set_location_assignment PIN_R3 -to HEX5[6]
143
set_location_assignment PIN_R2 -to HEX6[0]
144
set_location_assignment PIN_P4 -to HEX6[1]
145
set_location_assignment PIN_P3 -to HEX6[2]
146
set_location_assignment PIN_M2 -to HEX6[3]
147
set_location_assignment PIN_M3 -to HEX6[4]
148
set_location_assignment PIN_M5 -to HEX6[5]
149
set_location_assignment PIN_M4 -to HEX6[6]
150
set_location_assignment PIN_L3 -to HEX7[0]
151
set_location_assignment PIN_L2 -to HEX7[1]
152
set_location_assignment PIN_L9 -to HEX7[2]
153
set_location_assignment PIN_L6 -to HEX7[3]
154
set_location_assignment PIN_L7 -to HEX7[4]
155
set_location_assignment PIN_P9 -to HEX7[5]
156
set_location_assignment PIN_N9 -to HEX7[6]
157
set_location_assignment PIN_G26 -to KEY[0]
158
set_location_assignment PIN_N23 -to KEY[1]
159
set_location_assignment PIN_P23 -to KEY[2]
160
set_location_assignment PIN_W26 -to KEY[3]
161
set_location_assignment PIN_AF23 -to LEDR[1]
162
set_location_assignment PIN_AB21 -to LEDR[2]
163
set_location_assignment PIN_AC22 -to LEDR[3]
164
set_location_assignment PIN_AD22 -to LEDR[4]
165
set_location_assignment PIN_AD23 -to LEDR[5]
166
set_location_assignment PIN_AD21 -to LEDR[6]
167
set_location_assignment PIN_AC21 -to LEDR[7]
168
set_location_assignment PIN_AA14 -to LEDR[8]
169
set_location_assignment PIN_Y13 -to LEDR[9]
170
set_location_assignment PIN_AA13 -to LEDR[10]
171
set_location_assignment PIN_AC14 -to LEDR[11]
172
set_location_assignment PIN_AD15 -to LEDR[12]
173
set_location_assignment PIN_AE15 -to LEDR[13]
174
set_location_assignment PIN_AF13 -to LEDR[14]
175
set_location_assignment PIN_AE13 -to LEDR[15]
176
set_location_assignment PIN_AE12 -to LEDR[16]
177
set_location_assignment PIN_AD12 -to LEDR[17]
178
set_location_assignment PIN_AF22 -to LEDG[1]
179
set_location_assignment PIN_W19 -to LEDG[2]
180
set_location_assignment PIN_V18 -to LEDG[3]
181
set_location_assignment PIN_U18 -to LEDG[4]
182
set_location_assignment PIN_U17 -to LEDG[5]
183
set_location_assignment PIN_AA20 -to LEDG[6]
184
set_location_assignment PIN_Y18 -to LEDG[7]
185
set_location_assignment PIN_Y12 -to LEDG[8]
186
set_location_assignment PIN_D13 -to CLOCK_27
187
set_location_assignment PIN_P26 -to EXT_CLOCK
188
set_location_assignment PIN_D26 -to PS2_CLK
189
set_location_assignment PIN_C24 -to PS2_DAT
190
set_location_assignment PIN_C25 -to UART_RXD
191
set_location_assignment PIN_B25 -to UART_TXD
192
set_location_assignment PIN_K4 -to LCD_RW
193
set_location_assignment PIN_K3 -to LCD_EN
194
set_location_assignment PIN_K1 -to LCD_RS
195
set_location_assignment PIN_J1 -to LCD_DATA[0]
196
set_location_assignment PIN_J2 -to LCD_DATA[1]
197
set_location_assignment PIN_H1 -to LCD_DATA[2]
198
set_location_assignment PIN_H2 -to LCD_DATA[3]
199
set_location_assignment PIN_J4 -to LCD_DATA[4]
200
set_location_assignment PIN_J3 -to LCD_DATA[5]
201
set_location_assignment PIN_H4 -to LCD_DATA[6]
202
set_location_assignment PIN_H3 -to LCD_DATA[7]
203
set_location_assignment PIN_L4 -to LCD_ON
204
set_location_assignment PIN_K2 -to LCD_BLON
205
set_location_assignment PIN_AE4 -to SRAM_ADDR[0]
206
set_location_assignment PIN_AF4 -to SRAM_ADDR[1]
207
set_location_assignment PIN_AC5 -to SRAM_ADDR[2]
208
set_location_assignment PIN_AC6 -to SRAM_ADDR[3]
209
set_location_assignment PIN_AD4 -to SRAM_ADDR[4]
210
set_location_assignment PIN_AD5 -to SRAM_ADDR[5]
211
set_location_assignment PIN_AE5 -to SRAM_ADDR[6]
212
set_location_assignment PIN_AF5 -to SRAM_ADDR[7]
213
set_location_assignment PIN_AD6 -to SRAM_ADDR[8]
214
set_location_assignment PIN_AD7 -to SRAM_ADDR[9]
215
set_location_assignment PIN_V10 -to SRAM_ADDR[10]
216
set_location_assignment PIN_V9 -to SRAM_ADDR[11]
217
set_location_assignment PIN_AC7 -to SRAM_ADDR[12]
218
set_location_assignment PIN_W8 -to SRAM_ADDR[13]
219
set_location_assignment PIN_W10 -to SRAM_ADDR[14]
220
set_location_assignment PIN_Y10 -to SRAM_ADDR[15]
221
set_location_assignment PIN_AB8 -to SRAM_ADDR[16]
222
set_location_assignment PIN_AC8 -to SRAM_ADDR[17]
223
set_location_assignment PIN_AD8 -to SRAM_DQ[0]
224
set_location_assignment PIN_AE6 -to SRAM_DQ[1]
225
set_location_assignment PIN_AF6 -to SRAM_DQ[2]
226
set_location_assignment PIN_AA9 -to SRAM_DQ[3]
227
set_location_assignment PIN_AA10 -to SRAM_DQ[4]
228
set_location_assignment PIN_AB10 -to SRAM_DQ[5]
229
set_location_assignment PIN_AA11 -to SRAM_DQ[6]
230
set_location_assignment PIN_Y11 -to SRAM_DQ[7]
231
set_location_assignment PIN_AE7 -to SRAM_DQ[8]
232
set_location_assignment PIN_AF7 -to SRAM_DQ[9]
233
set_location_assignment PIN_AE8 -to SRAM_DQ[10]
234
set_location_assignment PIN_AF8 -to SRAM_DQ[11]
235
set_location_assignment PIN_W11 -to SRAM_DQ[12]
236
set_location_assignment PIN_W12 -to SRAM_DQ[13]
237
set_location_assignment PIN_AC9 -to SRAM_DQ[14]
238
set_location_assignment PIN_AC10 -to SRAM_DQ[15]
239
set_location_assignment PIN_AE10 -to SRAM_WE_N
240
set_location_assignment PIN_AD10 -to SRAM_OE_N
241
set_location_assignment PIN_AF9 -to SRAM_UB_N
242
set_location_assignment PIN_AE9 -to SRAM_LB_N
243
set_location_assignment PIN_AC11 -to SRAM_CE_N
244
set_location_assignment PIN_K7 -to OTG_ADDR[0]
245
set_location_assignment PIN_F2 -to OTG_ADDR[1]
246
set_location_assignment PIN_F1 -to OTG_CS_N
247
set_location_assignment PIN_G2 -to OTG_RD_N
248
set_location_assignment PIN_G1 -to OTG_WR_N
249
set_location_assignment PIN_G5 -to OTG_RST_N
250
set_location_assignment PIN_F4 -to OTG_DATA[0]
251
set_location_assignment PIN_D2 -to OTG_DATA[1]
252
set_location_assignment PIN_D1 -to OTG_DATA[2]
253
set_location_assignment PIN_F7 -to OTG_DATA[3]
254
set_location_assignment PIN_J5 -to OTG_DATA[4]
255
set_location_assignment PIN_J8 -to OTG_DATA[5]
256
set_location_assignment PIN_J7 -to OTG_DATA[6]
257
set_location_assignment PIN_H6 -to OTG_DATA[7]
258
set_location_assignment PIN_E2 -to OTG_DATA[8]
259
set_location_assignment PIN_E1 -to OTG_DATA[9]
260
set_location_assignment PIN_K6 -to OTG_DATA[10]
261
set_location_assignment PIN_K5 -to OTG_DATA[11]
262
set_location_assignment PIN_G4 -to OTG_DATA[12]
263
set_location_assignment PIN_G3 -to OTG_DATA[13]
264
set_location_assignment PIN_J6 -to OTG_DATA[14]
265
set_location_assignment PIN_K8 -to OTG_DATA[15]
266
set_location_assignment PIN_B3 -to OTG_INT0
267
set_location_assignment PIN_C3 -to OTG_INT1
268
set_location_assignment PIN_C2 -to OTG_DACK0_N
269
set_location_assignment PIN_B2 -to OTG_DACK1_N
270
set_location_assignment PIN_F6 -to OTG_DREQ0
271
set_location_assignment PIN_E5 -to OTG_DREQ1
272
set_location_assignment PIN_F3 -to OTG_FSPEED
273
set_location_assignment PIN_G6 -to OTG_LSPEED
274
set_location_assignment PIN_B14 -to TDI
275
set_location_assignment PIN_A14 -to TCS
276
set_location_assignment PIN_D14 -to TCK
277
set_location_assignment PIN_F14 -to TDO
278
set_location_assignment PIN_C4 -to TD_RESET
279
set_location_assignment PIN_C8 -to VGA_R[0]
280
set_location_assignment PIN_F10 -to VGA_R[1]
281
set_location_assignment PIN_G10 -to VGA_R[2]
282
set_location_assignment PIN_D9 -to VGA_R[3]
283
set_location_assignment PIN_C9 -to VGA_R[4]
284
set_location_assignment PIN_A8 -to VGA_R[5]
285
set_location_assignment PIN_H11 -to VGA_R[6]
286
set_location_assignment PIN_H12 -to VGA_R[7]
287
set_location_assignment PIN_F11 -to VGA_R[8]
288
set_location_assignment PIN_E10 -to VGA_R[9]
289
set_location_assignment PIN_B9 -to VGA_G[0]
290
set_location_assignment PIN_A9 -to VGA_G[1]
291
set_location_assignment PIN_C10 -to VGA_G[2]
292
set_location_assignment PIN_D10 -to VGA_G[3]
293
set_location_assignment PIN_B10 -to VGA_G[4]
294
set_location_assignment PIN_A10 -to VGA_G[5]
295
set_location_assignment PIN_G11 -to VGA_G[6]
296
set_location_assignment PIN_D11 -to VGA_G[7]
297
set_location_assignment PIN_E12 -to VGA_G[8]
298
set_location_assignment PIN_D12 -to VGA_G[9]
299
set_location_assignment PIN_J13 -to VGA_B[0]
300
set_location_assignment PIN_J14 -to VGA_B[1]
301
set_location_assignment PIN_F12 -to VGA_B[2]
302
set_location_assignment PIN_G12 -to VGA_B[3]
303
set_location_assignment PIN_J10 -to VGA_B[4]
304
set_location_assignment PIN_J11 -to VGA_B[5]
305
set_location_assignment PIN_C11 -to VGA_B[6]
306
set_location_assignment PIN_B11 -to VGA_B[7]
307
set_location_assignment PIN_C12 -to VGA_B[8]
308
set_location_assignment PIN_B12 -to VGA_B[9]
309
set_location_assignment PIN_B8 -to VGA_CLK
310
set_location_assignment PIN_D6 -to VGA_BLANK
311
set_location_assignment PIN_A7 -to VGA_HS
312
set_location_assignment PIN_D8 -to VGA_VS
313
set_location_assignment PIN_B7 -to VGA_SYNC
314
set_location_assignment PIN_A6 -to I2C_SCLK
315
set_location_assignment PIN_B6 -to I2C_SDAT
316
set_location_assignment PIN_J9 -to TD_DATA[0]
317
set_location_assignment PIN_E8 -to TD_DATA[1]
318
set_location_assignment PIN_H8 -to TD_DATA[2]
319
set_location_assignment PIN_H10 -to TD_DATA[3]
320
set_location_assignment PIN_G9 -to TD_DATA[4]
321
set_location_assignment PIN_F9 -to TD_DATA[5]
322
set_location_assignment PIN_D7 -to TD_DATA[6]
323
set_location_assignment PIN_C7 -to TD_DATA[7]
324
set_location_assignment PIN_D5 -to TD_HS
325
set_location_assignment PIN_K9 -to TD_VS
326
set_location_assignment PIN_C5 -to AUD_ADCLRCK
327
set_location_assignment PIN_B5 -to AUD_ADCDAT
328
set_location_assignment PIN_C6 -to AUD_DACLRCK
329
set_location_assignment PIN_A4 -to AUD_DACDAT
330
set_location_assignment PIN_A5 -to AUD_XCK
331
set_location_assignment PIN_B4 -to AUD_BCLK
332
set_location_assignment PIN_D17 -to ENET_DATA[0]
333
set_location_assignment PIN_C17 -to ENET_DATA[1]
334
set_location_assignment PIN_B18 -to ENET_DATA[2]
335
set_location_assignment PIN_A18 -to ENET_DATA[3]
336
set_location_assignment PIN_B17 -to ENET_DATA[4]
337
set_location_assignment PIN_A17 -to ENET_DATA[5]
338
set_location_assignment PIN_B16 -to ENET_DATA[6]
339
set_location_assignment PIN_B15 -to ENET_DATA[7]
340
set_location_assignment PIN_B20 -to ENET_DATA[8]
341
set_location_assignment PIN_A20 -to ENET_DATA[9]
342
set_location_assignment PIN_C19 -to ENET_DATA[10]
343
set_location_assignment PIN_D19 -to ENET_DATA[11]
344
set_location_assignment PIN_B19 -to ENET_DATA[12]
345
set_location_assignment PIN_A19 -to ENET_DATA[13]
346
set_location_assignment PIN_E18 -to ENET_DATA[14]
347
set_location_assignment PIN_D18 -to ENET_DATA[15]
348
set_location_assignment PIN_B24 -to ENET_CLK
349
set_location_assignment PIN_A21 -to ENET_CMD
350
set_location_assignment PIN_A23 -to ENET_CS_N
351
set_location_assignment PIN_B21 -to ENET_INT
352
set_location_assignment PIN_A22 -to ENET_RD_N
353
set_location_assignment PIN_B22 -to ENET_WR_N
354
set_location_assignment PIN_B23 -to ENET_RST_N
355
set_location_assignment PIN_AE24 -to IRDA_TXD
356
set_location_assignment PIN_AE25 -to IRDA_RXD
357
set_location_assignment PIN_AD24 -to SD_DAT
358
set_location_assignment PIN_AC23 -to SD_DAT3
359
set_location_assignment PIN_Y21 -to SD_CMD
360
set_location_assignment PIN_AD25 -to SD_CLK
361
set_location_assignment PIN_D25 -to GPIO_0[0]
362
set_location_assignment PIN_J22 -to GPIO_0[1]
363
set_location_assignment PIN_E26 -to GPIO_0[2]
364
set_location_assignment PIN_E25 -to GPIO_0[3]
365
set_location_assignment PIN_F24 -to GPIO_0[4]
366
set_location_assignment PIN_F23 -to GPIO_0[5]
367
set_location_assignment PIN_J21 -to GPIO_0[6]
368
set_location_assignment PIN_J20 -to GPIO_0[7]
369
set_location_assignment PIN_F25 -to GPIO_0[8]
370
set_location_assignment PIN_F26 -to GPIO_0[9]
371
set_location_assignment PIN_N18 -to GPIO_0[10]
372
set_location_assignment PIN_P18 -to GPIO_0[11]
373
set_location_assignment PIN_G23 -to GPIO_0[12]
374
set_location_assignment PIN_G24 -to GPIO_0[13]
375
set_location_assignment PIN_K22 -to GPIO_0[14]
376
set_location_assignment PIN_G25 -to GPIO_0[15]
377
set_location_assignment PIN_H23 -to GPIO_0[16]
378
set_location_assignment PIN_H24 -to GPIO_0[17]
379
set_location_assignment PIN_J23 -to GPIO_0[18]
380
set_location_assignment PIN_J24 -to GPIO_0[19]
381
set_location_assignment PIN_H25 -to GPIO_0[20]
382
set_location_assignment PIN_H26 -to GPIO_0[21]
383
set_location_assignment PIN_H19 -to GPIO_0[22]
384
set_location_assignment PIN_K18 -to GPIO_0[23]
385
set_location_assignment PIN_K19 -to GPIO_0[24]
386
set_location_assignment PIN_K21 -to GPIO_0[25]
387
set_location_assignment PIN_K23 -to GPIO_0[26]
388
set_location_assignment PIN_K24 -to GPIO_0[27]
389
set_location_assignment PIN_L21 -to GPIO_0[28]
390
set_location_assignment PIN_L20 -to GPIO_0[29]
391
set_location_assignment PIN_J25 -to GPIO_0[30]
392
set_location_assignment PIN_J26 -to GPIO_0[31]
393
set_location_assignment PIN_L23 -to GPIO_0[32]
394
set_location_assignment PIN_L24 -to GPIO_0[33]
395
set_location_assignment PIN_L25 -to GPIO_0[34]
396
set_location_assignment PIN_L19 -to GPIO_0[35]
397
set_location_assignment PIN_K25 -to GPIO_1[0]
398
set_location_assignment PIN_K26 -to GPIO_1[1]
399
set_location_assignment PIN_M22 -to GPIO_1[2]
400
set_location_assignment PIN_M23 -to GPIO_1[3]
401
set_location_assignment PIN_M19 -to GPIO_1[4]
402
set_location_assignment PIN_M20 -to GPIO_1[5]
403
set_location_assignment PIN_N20 -to GPIO_1[6]
404
set_location_assignment PIN_M21 -to GPIO_1[7]
405
set_location_assignment PIN_M24 -to GPIO_1[8]
406
set_location_assignment PIN_M25 -to GPIO_1[9]
407
set_location_assignment PIN_N24 -to GPIO_1[10]
408
set_location_assignment PIN_P24 -to GPIO_1[11]
409
set_location_assignment PIN_R25 -to GPIO_1[12]
410
set_location_assignment PIN_R24 -to GPIO_1[13]
411
set_location_assignment PIN_R20 -to GPIO_1[14]
412
set_location_assignment PIN_T22 -to GPIO_1[15]
413
set_location_assignment PIN_T23 -to GPIO_1[16]
414
set_location_assignment PIN_T24 -to GPIO_1[17]
415
set_location_assignment PIN_T25 -to GPIO_1[18]
416
set_location_assignment PIN_T18 -to GPIO_1[19]
417
set_location_assignment PIN_T21 -to GPIO_1[20]
418
set_location_assignment PIN_T20 -to GPIO_1[21]
419
set_location_assignment PIN_U26 -to GPIO_1[22]
420
set_location_assignment PIN_U25 -to GPIO_1[23]
421
set_location_assignment PIN_U23 -to GPIO_1[24]
422
set_location_assignment PIN_U24 -to GPIO_1[25]
423
set_location_assignment PIN_R19 -to GPIO_1[26]
424
set_location_assignment PIN_T19 -to GPIO_1[27]
425
set_location_assignment PIN_U20 -to GPIO_1[28]
426
set_location_assignment PIN_U21 -to GPIO_1[29]
427
set_location_assignment PIN_V26 -to GPIO_1[30]
428
set_location_assignment PIN_V25 -to GPIO_1[31]
429
set_location_assignment PIN_V24 -to GPIO_1[32]
430
set_location_assignment PIN_V23 -to GPIO_1[33]
431
set_location_assignment PIN_W25 -to GPIO_1[34]
432
set_location_assignment PIN_W23 -to GPIO_1[35]
433
set_location_assignment PIN_N25 -to rst_n
434
set_location_assignment PIN_V2 -to toggle_in
435
set_location_assignment PIN_AE23 -to port_out
436
set_location_assignment PIN_N2 -to clk
437
set_global_assignment -name DEVICE EP2C35F672C6
438
set_global_assignment -name FAMILY "Cyclone II"
439
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
440
 
441
set_global_assignment -name LAST_QUARTUS_VERSION "11.0 SP1"
442
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
443
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
444
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
445
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
446
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.