OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [de2_samos_soc/] [1.0/] [pin_mappings/] [de2.qsf] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
set_location_assignment PIN_N25 -to SW[0]
2
set_location_assignment PIN_N26 -to SW[1]
3
set_location_assignment PIN_P25 -to SW[2]
4
set_location_assignment PIN_AE14 -to SW[3]
5
set_location_assignment PIN_AF14 -to SW[4]
6
set_location_assignment PIN_AD13 -to SW[5]
7
set_location_assignment PIN_AC13 -to SW[6]
8
set_location_assignment PIN_C13 -to SW[7]
9
set_location_assignment PIN_B13 -to SW[8]
10
set_location_assignment PIN_A13 -to SW[9]
11
set_location_assignment PIN_N1 -to SW[10]
12
set_location_assignment PIN_P1 -to SW[11]
13
set_location_assignment PIN_P2 -to SW[12]
14
set_location_assignment PIN_T7 -to SW[13]
15
set_location_assignment PIN_U3 -to SW[14]
16
set_location_assignment PIN_U4 -to SW[15]
17
set_location_assignment PIN_V1 -to SW[16]
18
set_location_assignment PIN_T6 -to DRAM_ADDR[0]
19
set_location_assignment PIN_V4 -to DRAM_ADDR[1]
20
set_location_assignment PIN_V3 -to DRAM_ADDR[2]
21
set_location_assignment PIN_W2 -to DRAM_ADDR[3]
22
set_location_assignment PIN_W1 -to DRAM_ADDR[4]
23
set_location_assignment PIN_U6 -to DRAM_ADDR[5]
24
set_location_assignment PIN_U7 -to DRAM_ADDR[6]
25
set_location_assignment PIN_U5 -to DRAM_ADDR[7]
26
set_location_assignment PIN_W4 -to DRAM_ADDR[8]
27
set_location_assignment PIN_W3 -to DRAM_ADDR[9]
28
set_location_assignment PIN_Y1 -to DRAM_ADDR[10]
29
set_location_assignment PIN_V5 -to DRAM_ADDR[11]
30
set_location_assignment PIN_AB3 -to DRAM_CAS_N
31
set_location_assignment PIN_AA6 -to DRAM_CKE
32
set_location_assignment PIN_AA7 -to DRAM_CLK
33
set_location_assignment PIN_AC3 -to DRAM_CS_N
34
set_location_assignment PIN_V6 -to DRAM_DQ[0]
35
set_location_assignment PIN_AA2 -to DRAM_DQ[1]
36
set_location_assignment PIN_AA1 -to DRAM_DQ[2]
37
set_location_assignment PIN_Y3 -to DRAM_DQ[3]
38
set_location_assignment PIN_Y4 -to DRAM_DQ[4]
39
set_location_assignment PIN_R8 -to DRAM_DQ[5]
40
set_location_assignment PIN_T8 -to DRAM_DQ[6]
41
set_location_assignment PIN_V7 -to DRAM_DQ[7]
42
set_location_assignment PIN_W6 -to DRAM_DQ[8]
43
set_location_assignment PIN_AB2 -to DRAM_DQ[9]
44
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
45
set_location_assignment PIN_AA4 -to DRAM_DQ[11]
46
set_location_assignment PIN_AA3 -to DRAM_DQ[12]
47
set_location_assignment PIN_AC2 -to DRAM_DQ[13]
48
set_location_assignment PIN_AC1 -to DRAM_DQ[14]
49
set_location_assignment PIN_AA5 -to DRAM_DQ[15]
50
set_location_assignment PIN_AB4 -to DRAM_RAS_N
51
set_location_assignment PIN_AD3 -to DRAM_WE_N
52
set_location_assignment PIN_AC18 -to FL_ADDR[0]
53
set_location_assignment PIN_AB18 -to FL_ADDR[1]
54
set_location_assignment PIN_AE19 -to FL_ADDR[2]
55
set_location_assignment PIN_AF19 -to FL_ADDR[3]
56
set_location_assignment PIN_AE18 -to FL_ADDR[4]
57
set_location_assignment PIN_AF18 -to FL_ADDR[5]
58
set_location_assignment PIN_Y16 -to FL_ADDR[6]
59
set_location_assignment PIN_AA16 -to FL_ADDR[7]
60
set_location_assignment PIN_AD17 -to FL_ADDR[8]
61
set_location_assignment PIN_AC17 -to FL_ADDR[9]
62
set_location_assignment PIN_AE17 -to FL_ADDR[10]
63
set_location_assignment PIN_AF17 -to FL_ADDR[11]
64
set_location_assignment PIN_W16 -to FL_ADDR[12]
65
set_location_assignment PIN_W15 -to FL_ADDR[13]
66
set_location_assignment PIN_AC16 -to FL_ADDR[14]
67
set_location_assignment PIN_AD16 -to FL_ADDR[15]
68
set_location_assignment PIN_AE16 -to FL_ADDR[16]
69
set_location_assignment PIN_AC15 -to FL_ADDR[17]
70
set_location_assignment PIN_AB15 -to FL_ADDR[18]
71
set_location_assignment PIN_AA15 -to FL_ADDR[19]
72
set_location_assignment PIN_Y15 -to FL_ADDR[20]
73
set_location_assignment PIN_Y14 -to FL_ADDR[21]
74
set_location_assignment PIN_V17 -to FL_CE_N
75
set_location_assignment PIN_W17 -to FL_OE_N
76
set_location_assignment PIN_AD19 -to FL_DQ[0]
77
set_location_assignment PIN_AC19 -to FL_DQ[1]
78
set_location_assignment PIN_AF20 -to FL_DQ[2]
79
set_location_assignment PIN_AE20 -to FL_DQ[3]
80
set_location_assignment PIN_AB20 -to FL_DQ[4]
81
set_location_assignment PIN_AC20 -to FL_DQ[5]
82
set_location_assignment PIN_AF21 -to FL_DQ[6]
83
set_location_assignment PIN_AE21 -to FL_DQ[7]
84
set_location_assignment PIN_AA18 -to FL_RST_N
85
set_location_assignment PIN_AA17 -to FL_WE_N
86
set_location_assignment PIN_AF10 -to HEX0[0]
87
set_location_assignment PIN_AB12 -to HEX0[1]
88
set_location_assignment PIN_AC12 -to HEX0[2]
89
set_location_assignment PIN_AD11 -to HEX0[3]
90
set_location_assignment PIN_AE11 -to HEX0[4]
91
set_location_assignment PIN_V14 -to HEX0[5]
92
set_location_assignment PIN_V13 -to HEX0[6]
93
set_location_assignment PIN_V20 -to HEX1[0]
94
set_location_assignment PIN_V21 -to HEX1[1]
95
set_location_assignment PIN_W21 -to HEX1[2]
96
set_location_assignment PIN_Y22 -to HEX1[3]
97
set_location_assignment PIN_AA24 -to HEX1[4]
98
set_location_assignment PIN_AA23 -to HEX1[5]
99
set_location_assignment PIN_AB24 -to HEX1[6]
100
set_location_assignment PIN_AB23 -to HEX2[0]
101
set_location_assignment PIN_V22 -to HEX2[1]
102
set_location_assignment PIN_AC25 -to HEX2[2]
103
set_location_assignment PIN_AC26 -to HEX2[3]
104
set_location_assignment PIN_AB26 -to HEX2[4]
105
set_location_assignment PIN_AB25 -to HEX2[5]
106
set_location_assignment PIN_Y24 -to HEX2[6]
107
set_location_assignment PIN_Y23 -to HEX3[0]
108
set_location_assignment PIN_AA25 -to HEX3[1]
109
set_location_assignment PIN_AA26 -to HEX3[2]
110
set_location_assignment PIN_Y26 -to HEX3[3]
111
set_location_assignment PIN_Y25 -to HEX3[4]
112
set_location_assignment PIN_U22 -to HEX3[5]
113
set_location_assignment PIN_W24 -to HEX3[6]
114
set_location_assignment PIN_U9 -to HEX4[0]
115
set_location_assignment PIN_U1 -to HEX4[1]
116
set_location_assignment PIN_U2 -to HEX4[2]
117
set_location_assignment PIN_T4 -to HEX4[3]
118
set_location_assignment PIN_R7 -to HEX4[4]
119
set_location_assignment PIN_R6 -to HEX4[5]
120
set_location_assignment PIN_T3 -to HEX4[6]
121
set_location_assignment PIN_T2 -to HEX5[0]
122
set_location_assignment PIN_P6 -to HEX5[1]
123
set_location_assignment PIN_P7 -to HEX5[2]
124
set_location_assignment PIN_T9 -to HEX5[3]
125
set_location_assignment PIN_R5 -to HEX5[4]
126
set_location_assignment PIN_R4 -to HEX5[5]
127
set_location_assignment PIN_R3 -to HEX5[6]
128
set_location_assignment PIN_R2 -to HEX6[0]
129
set_location_assignment PIN_P4 -to HEX6[1]
130
set_location_assignment PIN_P3 -to HEX6[2]
131
set_location_assignment PIN_M2 -to HEX6[3]
132
set_location_assignment PIN_M3 -to HEX6[4]
133
set_location_assignment PIN_M5 -to HEX6[5]
134
set_location_assignment PIN_M4 -to HEX6[6]
135
set_location_assignment PIN_L3 -to HEX7[0]
136
set_location_assignment PIN_L2 -to HEX7[1]
137
set_location_assignment PIN_L9 -to HEX7[2]
138
set_location_assignment PIN_L6 -to HEX7[3]
139
set_location_assignment PIN_L7 -to HEX7[4]
140
set_location_assignment PIN_P9 -to HEX7[5]
141
set_location_assignment PIN_N9 -to HEX7[6]
142
set_location_assignment PIN_G26 -to KEY[0]
143
set_location_assignment PIN_N23 -to KEY[1]
144
set_location_assignment PIN_P23 -to KEY[2]
145
set_location_assignment PIN_W26 -to KEY[3]
146
set_location_assignment PIN_AE23 -to LEDR[0]
147
set_location_assignment PIN_AF23 -to LEDR[1]
148
set_location_assignment PIN_AB21 -to LEDR[2]
149
set_location_assignment PIN_AC22 -to LEDR[3]
150
set_location_assignment PIN_AD22 -to LEDR[4]
151
set_location_assignment PIN_AD23 -to LEDR[5]
152
set_location_assignment PIN_AD21 -to LEDR[6]
153
set_location_assignment PIN_AC21 -to LEDR[7]
154
set_location_assignment PIN_AA14 -to LEDR[8]
155
set_location_assignment PIN_Y13 -to LEDR[9]
156
set_location_assignment PIN_AA13 -to LEDR[10]
157
set_location_assignment PIN_AC14 -to LEDR[11]
158
set_location_assignment PIN_AD15 -to LEDR[12]
159
set_location_assignment PIN_AE15 -to LEDR[13]
160
set_location_assignment PIN_AF13 -to LEDR[14]
161
set_location_assignment PIN_AE13 -to LEDR[15]
162
set_location_assignment PIN_AE12 -to LEDR[16]
163
set_location_assignment PIN_AD12 -to LEDR[17]
164
set_location_assignment PIN_AE22 -to LEDG[0]
165
set_location_assignment PIN_AF22 -to LEDG[1]
166
set_location_assignment PIN_W19 -to LEDG[2]
167
set_location_assignment PIN_V18 -to LEDG[3]
168
set_location_assignment PIN_U18 -to LEDG[4]
169
set_location_assignment PIN_U17 -to LEDG[5]
170
set_location_assignment PIN_AA20 -to LEDG[6]
171
set_location_assignment PIN_Y18 -to LEDG[7]
172
set_location_assignment PIN_Y12 -to LEDG[8]
173
set_location_assignment PIN_D13 -to CLOCK_27
174
set_location_assignment PIN_N2 -to CLOCK_50
175
set_location_assignment PIN_P26 -to EXT_CLOCK
176
set_location_assignment PIN_D26 -to PS2_CLK
177
set_location_assignment PIN_C24 -to PS2_DAT
178
set_location_assignment PIN_C25 -to UART_RXD
179
set_location_assignment PIN_B25 -to UART_TXD
180
set_location_assignment PIN_K4 -to LCD_RW
181
set_location_assignment PIN_K3 -to LCD_EN
182
set_location_assignment PIN_K1 -to LCD_RS
183
set_location_assignment PIN_J1 -to LCD_DATA[0]
184
set_location_assignment PIN_J2 -to LCD_DATA[1]
185
set_location_assignment PIN_H1 -to LCD_DATA[2]
186
set_location_assignment PIN_H2 -to LCD_DATA[3]
187
set_location_assignment PIN_J4 -to LCD_DATA[4]
188
set_location_assignment PIN_J3 -to LCD_DATA[5]
189
set_location_assignment PIN_H4 -to LCD_DATA[6]
190
set_location_assignment PIN_H3 -to LCD_DATA[7]
191
set_location_assignment PIN_L4 -to LCD_ON
192
set_location_assignment PIN_K2 -to LCD_BLON
193
set_location_assignment PIN_AE4 -to SRAM_ADDR[0]
194
set_location_assignment PIN_AF4 -to SRAM_ADDR[1]
195
set_location_assignment PIN_AC5 -to SRAM_ADDR[2]
196
set_location_assignment PIN_AC6 -to SRAM_ADDR[3]
197
set_location_assignment PIN_AD4 -to SRAM_ADDR[4]
198
set_location_assignment PIN_AD5 -to SRAM_ADDR[5]
199
set_location_assignment PIN_AE5 -to SRAM_ADDR[6]
200
set_location_assignment PIN_AF5 -to SRAM_ADDR[7]
201
set_location_assignment PIN_AD6 -to SRAM_ADDR[8]
202
set_location_assignment PIN_AD7 -to SRAM_ADDR[9]
203
set_location_assignment PIN_V10 -to SRAM_ADDR[10]
204
set_location_assignment PIN_V9 -to SRAM_ADDR[11]
205
set_location_assignment PIN_AC7 -to SRAM_ADDR[12]
206
set_location_assignment PIN_W8 -to SRAM_ADDR[13]
207
set_location_assignment PIN_W10 -to SRAM_ADDR[14]
208
set_location_assignment PIN_Y10 -to SRAM_ADDR[15]
209
set_location_assignment PIN_AB8 -to SRAM_ADDR[16]
210
set_location_assignment PIN_AC8 -to SRAM_ADDR[17]
211
set_location_assignment PIN_AD8 -to SRAM_DQ[0]
212
set_location_assignment PIN_AE6 -to SRAM_DQ[1]
213
set_location_assignment PIN_AF6 -to SRAM_DQ[2]
214
set_location_assignment PIN_AA9 -to SRAM_DQ[3]
215
set_location_assignment PIN_AA10 -to SRAM_DQ[4]
216
set_location_assignment PIN_AB10 -to SRAM_DQ[5]
217
set_location_assignment PIN_AA11 -to SRAM_DQ[6]
218
set_location_assignment PIN_Y11 -to SRAM_DQ[7]
219
set_location_assignment PIN_AE7 -to SRAM_DQ[8]
220
set_location_assignment PIN_AF7 -to SRAM_DQ[9]
221
set_location_assignment PIN_AE8 -to SRAM_DQ[10]
222
set_location_assignment PIN_AF8 -to SRAM_DQ[11]
223
set_location_assignment PIN_W11 -to SRAM_DQ[12]
224
set_location_assignment PIN_W12 -to SRAM_DQ[13]
225
set_location_assignment PIN_AC9 -to SRAM_DQ[14]
226
set_location_assignment PIN_AC10 -to SRAM_DQ[15]
227
set_location_assignment PIN_AE10 -to SRAM_WE_N
228
set_location_assignment PIN_AD10 -to SRAM_OE_N
229
set_location_assignment PIN_AF9 -to SRAM_UB_N
230
set_location_assignment PIN_AE9 -to SRAM_LB_N
231
set_location_assignment PIN_AC11 -to SRAM_CE_N
232
set_location_assignment PIN_K7 -to OTG_ADDR[0]
233
set_location_assignment PIN_F2 -to OTG_ADDR[1]
234
set_location_assignment PIN_F1 -to OTG_CS_N
235
set_location_assignment PIN_G2 -to OTG_RD_N
236
set_location_assignment PIN_G1 -to OTG_WR_N
237
set_location_assignment PIN_G5 -to OTG_RST_N
238
set_location_assignment PIN_F4 -to OTG_DATA[0]
239
set_location_assignment PIN_D2 -to OTG_DATA[1]
240
set_location_assignment PIN_D1 -to OTG_DATA[2]
241
set_location_assignment PIN_F7 -to OTG_DATA[3]
242
set_location_assignment PIN_J5 -to OTG_DATA[4]
243
set_location_assignment PIN_J8 -to OTG_DATA[5]
244
set_location_assignment PIN_J7 -to OTG_DATA[6]
245
set_location_assignment PIN_H6 -to OTG_DATA[7]
246
set_location_assignment PIN_E2 -to OTG_DATA[8]
247
set_location_assignment PIN_E1 -to OTG_DATA[9]
248
set_location_assignment PIN_K6 -to OTG_DATA[10]
249
set_location_assignment PIN_K5 -to OTG_DATA[11]
250
set_location_assignment PIN_G4 -to OTG_DATA[12]
251
set_location_assignment PIN_G3 -to OTG_DATA[13]
252
set_location_assignment PIN_J6 -to OTG_DATA[14]
253
set_location_assignment PIN_K8 -to OTG_DATA[15]
254
set_location_assignment PIN_B3 -to OTG_INT0
255
set_location_assignment PIN_C3 -to OTG_INT1
256
set_location_assignment PIN_C2 -to OTG_DACK0_N
257
set_location_assignment PIN_B2 -to OTG_DACK1_N
258
set_location_assignment PIN_F6 -to OTG_DREQ0
259
set_location_assignment PIN_E5 -to OTG_DREQ1
260
set_location_assignment PIN_F3 -to OTG_FSPEED
261
set_location_assignment PIN_G6 -to OTG_LSPEED
262
set_location_assignment PIN_B14 -to TDI
263
set_location_assignment PIN_A14 -to TCS
264
set_location_assignment PIN_D14 -to TCK
265
set_location_assignment PIN_F14 -to TDO
266
set_location_assignment PIN_C4 -to TD_RESET
267
set_location_assignment PIN_C8 -to VGA_R[0]
268
set_location_assignment PIN_F10 -to VGA_R[1]
269
set_location_assignment PIN_G10 -to VGA_R[2]
270
set_location_assignment PIN_D9 -to VGA_R[3]
271
set_location_assignment PIN_C9 -to VGA_R[4]
272
set_location_assignment PIN_A8 -to VGA_R[5]
273
set_location_assignment PIN_H11 -to VGA_R[6]
274
set_location_assignment PIN_H12 -to VGA_R[7]
275
set_location_assignment PIN_F11 -to VGA_R[8]
276
set_location_assignment PIN_E10 -to VGA_R[9]
277
set_location_assignment PIN_B9 -to VGA_G[0]
278
set_location_assignment PIN_A9 -to VGA_G[1]
279
set_location_assignment PIN_C10 -to VGA_G[2]
280
set_location_assignment PIN_D10 -to VGA_G[3]
281
set_location_assignment PIN_B10 -to VGA_G[4]
282
set_location_assignment PIN_A10 -to VGA_G[5]
283
set_location_assignment PIN_G11 -to VGA_G[6]
284
set_location_assignment PIN_D11 -to VGA_G[7]
285
set_location_assignment PIN_E12 -to VGA_G[8]
286
set_location_assignment PIN_D12 -to VGA_G[9]
287
set_location_assignment PIN_J13 -to VGA_B[0]
288
set_location_assignment PIN_J14 -to VGA_B[1]
289
set_location_assignment PIN_F12 -to VGA_B[2]
290
set_location_assignment PIN_G12 -to VGA_B[3]
291
set_location_assignment PIN_J10 -to VGA_B[4]
292
set_location_assignment PIN_J11 -to VGA_B[5]
293
set_location_assignment PIN_C11 -to VGA_B[6]
294
set_location_assignment PIN_B11 -to VGA_B[7]
295
set_location_assignment PIN_C12 -to VGA_B[8]
296
set_location_assignment PIN_B12 -to VGA_B[9]
297
set_location_assignment PIN_B8 -to VGA_CLK
298
set_location_assignment PIN_D6 -to VGA_BLANK
299
set_location_assignment PIN_A7 -to VGA_HS
300
set_location_assignment PIN_D8 -to VGA_VS
301
set_location_assignment PIN_B7 -to VGA_SYNC
302
set_location_assignment PIN_A6 -to I2C_SCLK
303
set_location_assignment PIN_B6 -to I2C_SDAT
304
set_location_assignment PIN_J9 -to TD_DATA[0]
305
set_location_assignment PIN_E8 -to TD_DATA[1]
306
set_location_assignment PIN_H8 -to TD_DATA[2]
307
set_location_assignment PIN_H10 -to TD_DATA[3]
308
set_location_assignment PIN_G9 -to TD_DATA[4]
309
set_location_assignment PIN_F9 -to TD_DATA[5]
310
set_location_assignment PIN_D7 -to TD_DATA[6]
311
set_location_assignment PIN_C7 -to TD_DATA[7]
312
set_location_assignment PIN_D5 -to TD_HS
313
set_location_assignment PIN_K9 -to TD_VS
314
set_location_assignment PIN_C5 -to AUD_ADCLRCK
315
set_location_assignment PIN_B5 -to AUD_ADCDAT
316
set_location_assignment PIN_C6 -to AUD_DACLRCK
317
set_location_assignment PIN_A4 -to AUD_DACDAT
318
set_location_assignment PIN_A5 -to AUD_XCK
319
set_location_assignment PIN_B4 -to AUD_BCLK
320
set_location_assignment PIN_D17 -to ENET_DATA[0]
321
set_location_assignment PIN_C17 -to ENET_DATA[1]
322
set_location_assignment PIN_B18 -to ENET_DATA[2]
323
set_location_assignment PIN_A18 -to ENET_DATA[3]
324
set_location_assignment PIN_B17 -to ENET_DATA[4]
325
set_location_assignment PIN_A17 -to ENET_DATA[5]
326
set_location_assignment PIN_B16 -to ENET_DATA[6]
327
set_location_assignment PIN_B15 -to ENET_DATA[7]
328
set_location_assignment PIN_B20 -to ENET_DATA[8]
329
set_location_assignment PIN_A20 -to ENET_DATA[9]
330
set_location_assignment PIN_C19 -to ENET_DATA[10]
331
set_location_assignment PIN_D19 -to ENET_DATA[11]
332
set_location_assignment PIN_B19 -to ENET_DATA[12]
333
set_location_assignment PIN_A19 -to ENET_DATA[13]
334
set_location_assignment PIN_E18 -to ENET_DATA[14]
335
set_location_assignment PIN_D18 -to ENET_DATA[15]
336
set_location_assignment PIN_B24 -to ENET_CLK
337
set_location_assignment PIN_A21 -to ENET_CMD
338
set_location_assignment PIN_A23 -to ENET_CS_N
339
set_location_assignment PIN_B21 -to ENET_INT
340
set_location_assignment PIN_A22 -to ENET_RD_N
341
set_location_assignment PIN_B22 -to ENET_WR_N
342
set_location_assignment PIN_B23 -to ENET_RST_N
343
set_location_assignment PIN_AE24 -to IRDA_TXD
344
set_location_assignment PIN_AE25 -to IRDA_RXD
345
set_location_assignment PIN_AD24 -to SD_DAT
346
set_location_assignment PIN_AC23 -to SD_DAT3
347
set_location_assignment PIN_Y21 -to SD_CMD
348
set_location_assignment PIN_AD25 -to SD_CLK
349
set_location_assignment PIN_D25 -to GPIO_0[0]
350
set_location_assignment PIN_J22 -to GPIO_0[1]
351
set_location_assignment PIN_E26 -to GPIO_0[2]
352
set_location_assignment PIN_E25 -to GPIO_0[3]
353
set_location_assignment PIN_F24 -to GPIO_0[4]
354
set_location_assignment PIN_F23 -to GPIO_0[5]
355
set_location_assignment PIN_J21 -to GPIO_0[6]
356
set_location_assignment PIN_J20 -to GPIO_0[7]
357
set_location_assignment PIN_F25 -to GPIO_0[8]
358
set_location_assignment PIN_F26 -to GPIO_0[9]
359
set_location_assignment PIN_N18 -to GPIO_0[10]
360
set_location_assignment PIN_P18 -to GPIO_0[11]
361
set_location_assignment PIN_G23 -to GPIO_0[12]
362
set_location_assignment PIN_G24 -to GPIO_0[13]
363
set_location_assignment PIN_K22 -to GPIO_0[14]
364
set_location_assignment PIN_G25 -to GPIO_0[15]
365
set_location_assignment PIN_H23 -to GPIO_0[16]
366
set_location_assignment PIN_H24 -to GPIO_0[17]
367
set_location_assignment PIN_J23 -to GPIO_0[18]
368
set_location_assignment PIN_J24 -to GPIO_0[19]
369
set_location_assignment PIN_H25 -to GPIO_0[20]
370
set_location_assignment PIN_H26 -to GPIO_0[21]
371
set_location_assignment PIN_H19 -to GPIO_0[22]
372
set_location_assignment PIN_K18 -to GPIO_0[23]
373
set_location_assignment PIN_K19 -to GPIO_0[24]
374
set_location_assignment PIN_K21 -to GPIO_0[25]
375
set_location_assignment PIN_K23 -to GPIO_0[26]
376
set_location_assignment PIN_K24 -to GPIO_0[27]
377
set_location_assignment PIN_L21 -to GPIO_0[28]
378
set_location_assignment PIN_L20 -to GPIO_0[29]
379
set_location_assignment PIN_J25 -to GPIO_0[30]
380
set_location_assignment PIN_J26 -to GPIO_0[31]
381
set_location_assignment PIN_L23 -to GPIO_0[32]
382
set_location_assignment PIN_L24 -to GPIO_0[33]
383
set_location_assignment PIN_L25 -to GPIO_0[34]
384
set_location_assignment PIN_L19 -to GPIO_0[35]
385
set_location_assignment PIN_K25 -to GPIO_1[0]
386
set_location_assignment PIN_K26 -to GPIO_1[1]
387
set_location_assignment PIN_M22 -to GPIO_1[2]
388
set_location_assignment PIN_M23 -to GPIO_1[3]
389
set_location_assignment PIN_M19 -to GPIO_1[4]
390
set_location_assignment PIN_M20 -to GPIO_1[5]
391
set_location_assignment PIN_N20 -to GPIO_1[6]
392
set_location_assignment PIN_M21 -to GPIO_1[7]
393
set_location_assignment PIN_M24 -to GPIO_1[8]
394
set_location_assignment PIN_M25 -to GPIO_1[9]
395
set_location_assignment PIN_N24 -to GPIO_1[10]
396
set_location_assignment PIN_P24 -to GPIO_1[11]
397
set_location_assignment PIN_R25 -to GPIO_1[12]
398
set_location_assignment PIN_R24 -to GPIO_1[13]
399
set_location_assignment PIN_R20 -to GPIO_1[14]
400
set_location_assignment PIN_T22 -to GPIO_1[15]
401
set_location_assignment PIN_T23 -to GPIO_1[16]
402
set_location_assignment PIN_T24 -to GPIO_1[17]
403
set_location_assignment PIN_T25 -to GPIO_1[18]
404
set_location_assignment PIN_T18 -to GPIO_1[19]
405
set_location_assignment PIN_T21 -to GPIO_1[20]
406
set_location_assignment PIN_T20 -to GPIO_1[21]
407
set_location_assignment PIN_U26 -to GPIO_1[22]
408
set_location_assignment PIN_U25 -to GPIO_1[23]
409
set_location_assignment PIN_U23 -to GPIO_1[24]
410
set_location_assignment PIN_U24 -to GPIO_1[25]
411
set_location_assignment PIN_R19 -to GPIO_1[26]
412
set_location_assignment PIN_T19 -to GPIO_1[27]
413
set_location_assignment PIN_U20 -to GPIO_1[28]
414
set_location_assignment PIN_U21 -to GPIO_1[29]
415
set_location_assignment PIN_V26 -to GPIO_1[30]
416
set_location_assignment PIN_V25 -to GPIO_1[31]
417
set_location_assignment PIN_V24 -to GPIO_1[32]
418
set_location_assignment PIN_V23 -to GPIO_1[33]
419
set_location_assignment PIN_W25 -to GPIO_1[34]
420
set_location_assignment PIN_W23 -to GPIO_1[35]
421
set_location_assignment PIN_AD2 -to DRAM_DQM[0]
422
set_location_assignment PIN_Y5 -to DRAM_DQM[1]
423
set_location_assignment PIN_AE2 -to DRAM_BA[0]
424
set_location_assignment PIN_AE3 -to DRAM_BA[1]
425
set_location_assignment PIN_V2 -to SW_17
426
 
427
set_global_assignment -name FAMILY "Cyclone II"
428
set_global_assignment -name DEVICE EP2C35F672C6
429
set_global_assignment -name LAST_QUARTUS_VERSION "11.0 SP1"
430
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
431
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
432
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
433
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
434
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
435
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
436
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
437
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.