OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [led_fh_crossbar_example/] [1.0/] [vhd/] [led_fh_crossbar_example.kactusHierarchical.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-- ***************************************************
2
-- File: led_fh_crossbar_example.kactusHierarchical.vhd
3
-- Creation date: 12.12.2011
4
-- Creation time: 16:03:44
5
-- Description: 
6
-- Created by: ege
7
-- This file was generated with Kactus2 vhdl generator.
8
-- ***************************************************
9
library IEEE;
10
library work;
11
use work.all;
12
use IEEE.std_logic_1164.all;
13
 
14
entity led_fh_crossbar_example is
15
 
16
        port (
17
 
18
                -- Interface: clk
19
                clk : in std_logic;
20
 
21
                -- Interface: led_0
22
                led_0_out : out std_logic;
23
 
24
                -- Interface: led_1
25
                led_1_out : out std_logic;
26
 
27
                -- Interface: led_2
28
                led_2_out : out std_logic;
29
 
30
                -- Interface: led_3
31
                led_3_out : out std_logic;
32
 
33
                -- Interface: led_4
34
                led_4_out : out std_logic;
35
 
36
                -- Interface: led_5
37
                led_5_out : out std_logic;
38
 
39
                -- Interface: led_6
40
                led_6_out : out std_logic;
41
 
42
                -- Interface: led_7
43
                led_7_out : out std_logic;
44
 
45
                -- Interface: rst_n
46
                rst_n : in std_logic;
47
 
48
                -- Interface: switch_0
49
                switch_0_in : in std_logic;
50
 
51
                -- Interface: switch_1
52
                switch_1_in : in std_logic;
53
 
54
                -- Interface: switch_2
55
                switch_2_in : in std_logic;
56
 
57
                -- Interface: switch_3
58
                switch_3_in : in std_logic;
59
 
60
                -- Interface: switch_4
61
                switch_4_in : in std_logic;
62
 
63
                -- Interface: switch_5
64
                switch_5_in : in std_logic;
65
 
66
                -- Interface: switch_6
67
                switch_6_in : in std_logic;
68
 
69
                -- Interface: switch_7
70
                switch_7_in : in std_logic);
71
 
72
end led_fh_crossbar_example;
73
 
74
 
75
architecture kactusHierarchical of led_fh_crossbar_example is
76
 
77
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_AV_TO_IP : std_logic;
78
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
79
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_EMPTY_TO_IP : std_logic;
80
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_RE_FROM_IP : std_logic;
81
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_AV_FROM_IP : std_logic;
82
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
83
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_FULL_TO_IP : std_logic;
84
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
85
        signal crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_WE_FROM_IP : std_logic;
86
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP : std_logic;
87
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
88
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP : std_logic;
89
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP : std_logic;
90
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP : std_logic;
91
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
92
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP : std_logic;
93
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
94
        signal crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP : std_logic;
95
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_AV_TO_IP : std_logic;
96
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
97
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_EMPTY_TO_IP : std_logic;
98
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_RE_FROM_IP : std_logic;
99
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_AV_FROM_IP : std_logic;
100
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
101
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_FULL_TO_IP : std_logic;
102
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
103
        signal crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_WE_FROM_IP : std_logic;
104
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_AV_TO_IP : std_logic;
105
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
106
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_EMPTY_TO_IP : std_logic;
107
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_RE_FROM_IP : std_logic;
108
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_AV_FROM_IP : std_logic;
109
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
110
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_FULL_TO_IP : std_logic;
111
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
112
        signal crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_WE_FROM_IP : std_logic;
113
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_AV_TO_IP : std_logic;
114
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
115
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_EMPTY_TO_IP : std_logic;
116
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_RE_FROM_IP : std_logic;
117
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_AV_FROM_IP : std_logic;
118
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
119
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_FULL_TO_IP : std_logic;
120
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
121
        signal crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_WE_FROM_IP : std_logic;
122
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_AV_TO_IP : std_logic;
123
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
124
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_EMPTY_TO_IP : std_logic;
125
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_RE_FROM_IP : std_logic;
126
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_AV_FROM_IP : std_logic;
127
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
128
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_FULL_TO_IP : std_logic;
129
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
130
        signal crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_WE_FROM_IP : std_logic;
131
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_AV_TO_IP : std_logic;
132
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
133
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_EMPTY_TO_IP : std_logic;
134
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_RE_FROM_IP : std_logic;
135
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_AV_FROM_IP : std_logic;
136
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
137
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_FULL_TO_IP : std_logic;
138
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
139
        signal crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_WE_FROM_IP : std_logic;
140
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_AV_TO_IP : std_logic;
141
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
142
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_EMPTY_TO_IP : std_logic;
143
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_RE_FROM_IP : std_logic;
144
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_AV_FROM_IP : std_logic;
145
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
146
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_FULL_TO_IP : std_logic;
147
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
148
        signal crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_WE_FROM_IP : std_logic;
149
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP : std_logic;
150
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
151
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP : std_logic;
152
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP : std_logic;
153
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP : std_logic;
154
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
155
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP : std_logic;
156
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
157
        signal crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP : std_logic;
158
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP : std_logic;
159
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
160
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP : std_logic;
161
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP : std_logic;
162
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP : std_logic;
163
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
164
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP : std_logic;
165
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
166
        signal crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP : std_logic;
167
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP : std_logic;
168
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
169
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP : std_logic;
170
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP : std_logic;
171
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP : std_logic;
172
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
173
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP : std_logic;
174
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
175
        signal crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP : std_logic;
176
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP : std_logic;
177
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
178
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP : std_logic;
179
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP : std_logic;
180
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP : std_logic;
181
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
182
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP : std_logic;
183
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
184
        signal crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP : std_logic;
185
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP : std_logic;
186
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
187
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP : std_logic;
188
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP : std_logic;
189
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP : std_logic;
190
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
191
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP : std_logic;
192
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
193
        signal crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP : std_logic;
194
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP : std_logic;
195
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
196
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP : std_logic;
197
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP : std_logic;
198
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP : std_logic;
199
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
200
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP : std_logic;
201
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
202
        signal crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP : std_logic;
203
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_AV_TO_IP : std_logic;
204
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
205
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_EMPTY_TO_IP : std_logic;
206
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_RE_FROM_IP : std_logic;
207
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_AV_FROM_IP : std_logic;
208
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
209
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_FULL_TO_IP : std_logic;
210
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
211
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_WE_FROM_IP : std_logic;
212
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_AV_TO_IP : std_logic;
213
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
214
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_EMPTY_TO_IP : std_logic;
215
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_RE_FROM_IP : std_logic;
216
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_AV_FROM_IP : std_logic;
217
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
218
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_FULL_TO_IP : std_logic;
219
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
220
        signal crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_WE_FROM_IP : std_logic;
221
 
222
        component crossbar_network_max16ag
223
                generic (
224
                        addr_width_g : integer := 32;
225
                        data_width_g : integer := 32;
226
                        fifo_depth_g : integer := 6;
227
                        fill_packet_g : integer := 0;
228
                        ip_freq_g : integer := 50000000;
229
                        len_flit_en_g : integer := 1;
230
                        lut_en_g : integer := 0;
231
                        max_send_g : integer := 8;
232
                        n_ag_g : integer := 16;
233
                        net_freq_g : integer := 50000000;
234
                        oaddr_flit_en_g : integer := 0;
235
                        packet_length_g : integer := 4;
236
                        pkt_switch_en_g : integer := 0;
237
                        status_en_g : integer := 0;
238
                        stfwd_en_g : integer := 0;
239
                        timeout_g : integer := 5;
240
                        tx_len_width_g : integer := 16
241
 
242
                );
243
                port (
244
 
245
                        -- Interface: clk_ip
246
                        clk_ip : in std_logic;
247
 
248
                        -- Interface: clk_net
249
                        clk_net : in std_logic;
250
 
251
                        -- Interface: p0
252
                        port0_rx_re_in : in std_logic;
253
                        port0_tx_av_in : in std_logic;
254
                        port0_tx_data_in : in std_logic_vector(31 downto 0);
255
                        port0_tx_txlen_in : in std_logic_vector(15 downto 0);
256
                        port0_tx_we_in : in std_logic;
257
                        port0_rx_av_out : out std_logic;
258
                        port0_rx_data_out : out std_logic_vector(31 downto 0);
259
                        port0_rx_empty_out : out std_logic;
260
                        port0_tx_full_out : out std_logic;
261
 
262
                        -- Interface: p1
263
                        port1_rx_re_in : in std_logic;
264
                        port1_tx_av_in : in std_logic;
265
                        port1_tx_data_in : in std_logic_vector(31 downto 0);
266
                        port1_tx_txlen_in : in std_logic_vector(15 downto 0);
267
                        port1_tx_we_in : in std_logic;
268
                        port1_rx_av_out : out std_logic;
269
                        port1_rx_data_out : out std_logic_vector(31 downto 0);
270
                        port1_rx_empty_out : out std_logic;
271
                        port1_tx_full_out : out std_logic;
272
 
273
                        -- Interface: p10
274
                        port10_rx_re_in : in std_logic;
275
                        port10_tx_av_in : in std_logic;
276
                        port10_tx_data_in : in std_logic_vector(31 downto 0);
277
                        port10_tx_txlen_in : in std_logic_vector(15 downto 0);
278
                        port10_tx_we_in : in std_logic;
279
                        port10_rx_av_out : out std_logic;
280
                        port10_rx_data_out : out std_logic_vector(31 downto 0);
281
                        port10_rx_empty_out : out std_logic;
282
                        port10_tx_full_out : out std_logic;
283
 
284
                        -- Interface: p11
285
                        port11_rx_re_in : in std_logic;
286
                        port11_tx_av_in : in std_logic;
287
                        port11_tx_data_in : in std_logic_vector(31 downto 0);
288
                        port11_tx_txlen_in : in std_logic_vector(15 downto 0);
289
                        port11_tx_we_in : in std_logic;
290
                        port11_rx_av_out : out std_logic;
291
                        port11_rx_data_out : out std_logic_vector(31 downto 0);
292
                        port11_rx_empty_out : out std_logic;
293
                        port11_tx_full_out : out std_logic;
294
 
295
                        -- Interface: p12
296
                        port12_rx_re_in : in std_logic;
297
                        port12_tx_av_in : in std_logic;
298
                        port12_tx_data_in : in std_logic_vector(31 downto 0);
299
                        port12_tx_txlen_in : in std_logic_vector(15 downto 0);
300
                        port12_tx_we_in : in std_logic;
301
                        port12_rx_av_out : out std_logic;
302
                        port12_rx_data_out : out std_logic_vector(31 downto 0);
303
                        port12_rx_empty_out : out std_logic;
304
                        port12_tx_full_out : out std_logic;
305
 
306
                        -- Interface: p13
307
                        port13_rx_re_in : in std_logic;
308
                        port13_tx_av_in : in std_logic;
309
                        port13_tx_data_in : in std_logic_vector(31 downto 0);
310
                        port13_tx_txlen_in : in std_logic_vector(15 downto 0);
311
                        port13_tx_we_in : in std_logic;
312
                        port13_rx_av_out : out std_logic;
313
                        port13_rx_data_out : out std_logic_vector(31 downto 0);
314
                        port13_rx_empty_out : out std_logic;
315
                        port13_tx_full_out : out std_logic;
316
 
317
                        -- Interface: p14
318
                        port14_rx_re_in : in std_logic;
319
                        port14_tx_av_in : in std_logic;
320
                        port14_tx_data_in : in std_logic_vector(31 downto 0);
321
                        port14_tx_txlen_in : in std_logic_vector(15 downto 0);
322
                        port14_tx_we_in : in std_logic;
323
                        port14_rx_av_out : out std_logic;
324
                        port14_rx_data_out : out std_logic_vector(31 downto 0);
325
                        port14_rx_empty_out : out std_logic;
326
                        port14_tx_full_out : out std_logic;
327
 
328
                        -- Interface: p15
329
                        port15_rx_re_in : in std_logic;
330
                        port15_tx_av_in : in std_logic;
331
                        port15_tx_data_in : in std_logic_vector(31 downto 0);
332
                        port15_tx_txlen_in : in std_logic_vector(15 downto 0);
333
                        port15_tx_we_in : in std_logic;
334
                        port15_rx_av_out : out std_logic;
335
                        port15_rx_data_out : out std_logic_vector(31 downto 0);
336
                        port15_rx_empty_out : out std_logic;
337
                        port15_tx_full_out : out std_logic;
338
 
339
                        -- Interface: p2
340
                        port2_rx_re_in : in std_logic;
341
                        port2_tx_av_in : in std_logic;
342
                        port2_tx_data_in : in std_logic_vector(31 downto 0);
343
                        port2_tx_txlen_in : in std_logic_vector(15 downto 0);
344
                        port2_tx_we_in : in std_logic;
345
                        port2_rx_av_out : out std_logic;
346
                        port2_rx_data_out : out std_logic_vector(31 downto 0);
347
                        port2_rx_empty_out : out std_logic;
348
                        port2_tx_full_out : out std_logic;
349
 
350
                        -- Interface: p3
351
                        port3_rx_re_in : in std_logic;
352
                        port3_tx_av_in : in std_logic;
353
                        port3_tx_data_in : in std_logic_vector(31 downto 0);
354
                        port3_tx_txlen_in : in std_logic_vector(15 downto 0);
355
                        port3_tx_we_in : in std_logic;
356
                        port3_rx_av_out : out std_logic;
357
                        port3_rx_data_out : out std_logic_vector(31 downto 0);
358
                        port3_rx_empty_out : out std_logic;
359
                        port3_tx_full_out : out std_logic;
360
 
361
                        -- Interface: p4
362
                        port4_rx_re_in : in std_logic;
363
                        port4_tx_av_in : in std_logic;
364
                        port4_tx_data_in : in std_logic_vector(31 downto 0);
365
                        port4_tx_txlen_in : in std_logic_vector(15 downto 0);
366
                        port4_tx_we_in : in std_logic;
367
                        port4_rx_av_out : out std_logic;
368
                        port4_rx_data_out : out std_logic_vector(31 downto 0);
369
                        port4_rx_empty_out : out std_logic;
370
                        port4_tx_full_out : out std_logic;
371
 
372
                        -- Interface: p5
373
                        port5_rx_re_in : in std_logic;
374
                        port5_tx_av_in : in std_logic;
375
                        port5_tx_data_in : in std_logic_vector(31 downto 0);
376
                        port5_tx_txlen_in : in std_logic_vector(15 downto 0);
377
                        port5_tx_we_in : in std_logic;
378
                        port5_rx_av_out : out std_logic;
379
                        port5_rx_data_out : out std_logic_vector(31 downto 0);
380
                        port5_rx_empty_out : out std_logic;
381
                        port5_tx_full_out : out std_logic;
382
 
383
                        -- Interface: p6
384
                        port6_rx_re_in : in std_logic;
385
                        port6_tx_av_in : in std_logic;
386
                        port6_tx_data_in : in std_logic_vector(31 downto 0);
387
                        port6_tx_txlen_in : in std_logic_vector(15 downto 0);
388
                        port6_tx_we_in : in std_logic;
389
                        port6_rx_av_out : out std_logic;
390
                        port6_rx_data_out : out std_logic_vector(31 downto 0);
391
                        port6_rx_empty_out : out std_logic;
392
                        port6_tx_full_out : out std_logic;
393
 
394
                        -- Interface: p7
395
                        port7_rx_re_in : in std_logic;
396
                        port7_tx_av_in : in std_logic;
397
                        port7_tx_data_in : in std_logic_vector(31 downto 0);
398
                        port7_tx_txlen_in : in std_logic_vector(15 downto 0);
399
                        port7_tx_we_in : in std_logic;
400
                        port7_rx_av_out : out std_logic;
401
                        port7_rx_data_out : out std_logic_vector(31 downto 0);
402
                        port7_rx_empty_out : out std_logic;
403
                        port7_tx_full_out : out std_logic;
404
 
405
                        -- Interface: p8
406
                        port8_rx_re_in : in std_logic;
407
                        port8_tx_av_in : in std_logic;
408
                        port8_tx_data_in : in std_logic_vector(31 downto 0);
409
                        port8_tx_txlen_in : in std_logic_vector(15 downto 0);
410
                        port8_tx_we_in : in std_logic;
411
                        port8_rx_av_out : out std_logic;
412
                        port8_rx_data_out : out std_logic_vector(31 downto 0);
413
                        port8_rx_empty_out : out std_logic;
414
                        port8_tx_full_out : out std_logic;
415
 
416
                        -- Interface: p9
417
                        port9_rx_re_in : in std_logic;
418
                        port9_tx_av_in : in std_logic;
419
                        port9_tx_data_in : in std_logic_vector(31 downto 0);
420
                        port9_tx_txlen_in : in std_logic_vector(15 downto 0);
421
                        port9_tx_we_in : in std_logic;
422
                        port9_rx_av_out : out std_logic;
423
                        port9_rx_data_out : out std_logic_vector(31 downto 0);
424
                        port9_rx_empty_out : out std_logic;
425
                        port9_tx_full_out : out std_logic;
426
 
427
                        -- Interface: rst_n
428
                        rst_n : in std_logic
429
 
430
                );
431
        end component;
432
 
433
        -- Inverts the led output every time a message is received.
434
        component led_packet_codec
435
                generic (
436
                        data_width_g : integer := 32;
437
                        tx_len_width_g : integer := 16
438
 
439
                );
440
                port (
441
 
442
                        -- Interface: clk
443
                        clk : in std_logic;
444
 
445
                        -- Interface: led
446
                        led_out : out std_logic;
447
 
448
                        -- Interface: pkt_codec
449
                        rx_av_in : in std_logic;
450
                        rx_data_in : in std_logic_vector(31 downto 0);
451
                        rx_empty_in : in std_logic;
452
                        tx_full_in : in std_logic;
453
                        rx_re_out : out std_logic;
454
                        tx_av_out : out std_logic;
455
                        tx_data_out : out std_logic_vector(31 downto 0);
456
                        tx_txlen_out : out std_logic_vector(15 downto 0);
457
                        tx_we_out : out std_logic;
458
 
459
                        -- Interface: rst_n
460
                        rst_n : in std_logic
461
 
462
                );
463
        end component;
464
 
465
        -- Converts a toggle of a switch into constant one-word transfer.
466
        component switch_packet_codec
467
                generic (
468
                        data_width_g : integer := 32;
469
                        my_id_g : integer := 0; -- To which terminal the message is sent
470
                        tx_len_width_g : integer := 16
471
 
472
                );
473
                port (
474
 
475
                        -- Interface: clk
476
                        clk : in std_logic;
477
 
478
                        -- Interface: pkt_codec
479
                        rx_av_in : in std_logic;
480
                        rx_data_in : in std_logic_vector(31 downto 0);
481
                        rx_empty_in : in std_logic;
482
                        tx_full_in : in std_logic;
483
                        rx_re_out : out std_logic;
484
                        tx_av_out : out std_logic;
485
                        tx_data_out : out std_logic_vector(31 downto 0);
486
                        tx_txlen_out : out std_logic_vector(15 downto 0);
487
                        tx_we_out : out std_logic;
488
 
489
                        -- Interface: rst_n
490
                        rst_n : in std_logic;
491
 
492
                        -- Interface: switch
493
                        switch_in : in std_logic
494
 
495
                );
496
        end component;
497
 
498
        -- You can write vhdl code after this tag and it is saved through the generator.
499
        -- ##KACTUS2_BLACK_BOX_DECLARATIONS_BEGIN##
500
        -- ##KACTUS2_BLACK_BOX_DECLARATIONS_END##
501
        -- Stop writing your code after this tag.
502
 
503
 
504
begin
505
 
506
        -- You can write vhdl code after this tag and it is saved through the generator.
507
        -- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_BEGIN##
508
        -- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_END##
509
        -- Stop writing your code after this tag.
510
 
511
        crossbar_network_max16ag_1 : crossbar_network_max16ag
512
                port map (
513
                        clk_ip => clk,
514
                        clk_net => clk,
515
                        port0_rx_av_out => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_AV_TO_IP,
516
                        port0_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
517
                        port0_rx_empty_out => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
518
                        port0_rx_re_in => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_RE_FROM_IP,
519
                        port0_tx_av_in => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_AV_FROM_IP,
520
                        port0_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
521
                        port0_tx_full_out => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_FULL_TO_IP,
522
                        port0_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
523
                        port0_tx_we_in => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_WE_FROM_IP,
524
                        port10_rx_av_out => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_AV_TO_IP,
525
                        port10_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
526
                        port10_rx_empty_out => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
527
                        port10_rx_re_in => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_RE_FROM_IP,
528
                        port10_tx_av_in => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_AV_FROM_IP,
529
                        port10_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
530
                        port10_tx_full_out => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_FULL_TO_IP,
531
                        port10_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
532
                        port10_tx_we_in => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_WE_FROM_IP,
533
                        port11_rx_av_out => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_AV_TO_IP,
534
                        port11_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
535
                        port11_rx_empty_out => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
536
                        port11_rx_re_in => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_RE_FROM_IP,
537
                        port11_tx_av_in => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_AV_FROM_IP,
538
                        port11_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
539
                        port11_tx_full_out => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_FULL_TO_IP,
540
                        port11_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
541
                        port11_tx_we_in => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_WE_FROM_IP,
542
                        port12_rx_av_out => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_AV_TO_IP,
543
                        port12_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
544
                        port12_rx_empty_out => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
545
                        port12_rx_re_in => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_RE_FROM_IP,
546
                        port12_tx_av_in => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_AV_FROM_IP,
547
                        port12_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
548
                        port12_tx_full_out => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_FULL_TO_IP,
549
                        port12_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
550
                        port12_tx_we_in => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_WE_FROM_IP,
551
                        port13_rx_av_out => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_AV_TO_IP,
552
                        port13_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
553
                        port13_rx_empty_out => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
554
                        port13_rx_re_in => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_RE_FROM_IP,
555
                        port13_tx_av_in => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_AV_FROM_IP,
556
                        port13_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
557
                        port13_tx_full_out => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_FULL_TO_IP,
558
                        port13_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
559
                        port13_tx_we_in => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_WE_FROM_IP,
560
                        port14_rx_av_out => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_AV_TO_IP,
561
                        port14_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
562
                        port14_rx_empty_out => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
563
                        port14_rx_re_in => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_RE_FROM_IP,
564
                        port14_tx_av_in => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_AV_FROM_IP,
565
                        port14_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
566
                        port14_tx_full_out => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_FULL_TO_IP,
567
                        port14_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
568
                        port14_tx_we_in => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_WE_FROM_IP,
569
                        port15_rx_av_out => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_AV_TO_IP,
570
                        port15_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
571
                        port15_rx_empty_out => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
572
                        port15_rx_re_in => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_RE_FROM_IP,
573
                        port15_tx_av_in => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_AV_FROM_IP,
574
                        port15_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
575
                        port15_tx_full_out => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_FULL_TO_IP,
576
                        port15_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
577
                        port15_tx_we_in => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_WE_FROM_IP,
578
                        port1_rx_av_out => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP,
579
                        port1_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
580
                        port1_rx_empty_out => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
581
                        port1_rx_re_in => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP,
582
                        port1_tx_av_in => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP,
583
                        port1_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
584
                        port1_tx_full_out => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP,
585
                        port1_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
586
                        port1_tx_we_in => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP,
587
                        port2_rx_av_out => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP,
588
                        port2_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
589
                        port2_rx_empty_out => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
590
                        port2_rx_re_in => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP,
591
                        port2_tx_av_in => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP,
592
                        port2_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
593
                        port2_tx_full_out => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP,
594
                        port2_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
595
                        port2_tx_we_in => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP,
596
                        port3_rx_av_out => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP,
597
                        port3_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
598
                        port3_rx_empty_out => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
599
                        port3_rx_re_in => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP,
600
                        port3_tx_av_in => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP,
601
                        port3_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
602
                        port3_tx_full_out => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP,
603
                        port3_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
604
                        port3_tx_we_in => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP,
605
                        port4_rx_av_out => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP,
606
                        port4_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
607
                        port4_rx_empty_out => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
608
                        port4_rx_re_in => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP,
609
                        port4_tx_av_in => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP,
610
                        port4_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
611
                        port4_tx_full_out => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP,
612
                        port4_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
613
                        port4_tx_we_in => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP,
614
                        port5_rx_av_out => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP,
615
                        port5_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
616
                        port5_rx_empty_out => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
617
                        port5_rx_re_in => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP,
618
                        port5_tx_av_in => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP,
619
                        port5_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
620
                        port5_tx_full_out => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP,
621
                        port5_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
622
                        port5_tx_we_in => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP,
623
                        port6_rx_av_out => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP,
624
                        port6_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
625
                        port6_rx_empty_out => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
626
                        port6_rx_re_in => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP,
627
                        port6_tx_av_in => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP,
628
                        port6_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
629
                        port6_tx_full_out => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP,
630
                        port6_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
631
                        port6_tx_we_in => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP,
632
                        port7_rx_av_out => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP,
633
                        port7_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
634
                        port7_rx_empty_out => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
635
                        port7_rx_re_in => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP,
636
                        port7_tx_av_in => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP,
637
                        port7_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
638
                        port7_tx_full_out => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP,
639
                        port7_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
640
                        port7_tx_we_in => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP,
641
                        port8_rx_av_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_AV_TO_IP,
642
                        port8_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
643
                        port8_rx_empty_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
644
                        port8_rx_re_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_RE_FROM_IP,
645
                        port8_tx_av_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_AV_FROM_IP,
646
                        port8_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
647
                        port8_tx_full_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_FULL_TO_IP,
648
                        port8_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
649
                        port8_tx_we_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_WE_FROM_IP,
650
                        port9_rx_av_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_AV_TO_IP,
651
                        port9_rx_data_out(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
652
                        port9_rx_empty_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
653
                        port9_rx_re_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_RE_FROM_IP,
654
                        port9_tx_av_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_AV_FROM_IP,
655
                        port9_tx_data_in(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
656
                        port9_tx_full_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_FULL_TO_IP,
657
                        port9_tx_txlen_in(15 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
658
                        port9_tx_we_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_WE_FROM_IP,
659
                        rst_n => rst_n
660
                );
661
 
662
        led_packet_codec_0 : led_packet_codec
663
                port map (
664
                        clk => clk,
665
                        led_out => led_0_out,
666
                        rst_n => rst_n,
667
                        rx_av_in => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_AV_TO_IP,
668
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
669
                        rx_empty_in => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
670
                        rx_re_out => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_RE_FROM_IP,
671
                        tx_av_out => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_AV_FROM_IP,
672
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
673
                        tx_full_in => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_FULL_TO_IP,
674
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
675
                        tx_we_out => crossbar_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_WE_FROM_IP
676
                );
677
 
678
        led_packet_codec_1 : led_packet_codec
679
                port map (
680
                        clk => clk,
681
                        led_out => led_1_out,
682
                        rst_n => rst_n,
683
                        rx_av_in => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP,
684
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
685
                        rx_empty_in => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
686
                        rx_re_out => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP,
687
                        tx_av_out => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP,
688
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
689
                        tx_full_in => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP,
690
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
691
                        tx_we_out => crossbar_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP
692
                );
693
 
694
        led_packet_codec_2 : led_packet_codec
695
                port map (
696
                        clk => clk,
697
                        led_out => led_2_out,
698
                        rst_n => rst_n,
699
                        rx_av_in => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP,
700
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
701
                        rx_empty_in => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
702
                        rx_re_out => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP,
703
                        tx_av_out => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP,
704
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
705
                        tx_full_in => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP,
706
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
707
                        tx_we_out => crossbar_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP
708
                );
709
 
710
        led_packet_codec_3 : led_packet_codec
711
                port map (
712
                        clk => clk,
713
                        led_out => led_3_out,
714
                        rst_n => rst_n,
715
                        rx_av_in => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP,
716
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
717
                        rx_empty_in => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
718
                        rx_re_out => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP,
719
                        tx_av_out => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP,
720
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
721
                        tx_full_in => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP,
722
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
723
                        tx_we_out => crossbar_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP
724
                );
725
 
726
        led_packet_codec_4 : led_packet_codec
727
                port map (
728
                        clk => clk,
729
                        led_out => led_4_out,
730
                        rst_n => rst_n,
731
                        rx_av_in => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP,
732
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
733
                        rx_empty_in => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
734
                        rx_re_out => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP,
735
                        tx_av_out => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP,
736
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
737
                        tx_full_in => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP,
738
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
739
                        tx_we_out => crossbar_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP
740
                );
741
 
742
        led_packet_codec_5 : led_packet_codec
743
                port map (
744
                        clk => clk,
745
                        led_out => led_5_out,
746
                        rst_n => rst_n,
747
                        rx_av_in => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP,
748
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
749
                        rx_empty_in => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
750
                        rx_re_out => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP,
751
                        tx_av_out => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP,
752
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
753
                        tx_full_in => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP,
754
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
755
                        tx_we_out => crossbar_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP
756
                );
757
 
758
        led_packet_codec_6 : led_packet_codec
759
                port map (
760
                        clk => clk,
761
                        led_out => led_6_out,
762
                        rst_n => rst_n,
763
                        rx_av_in => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP,
764
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
765
                        rx_empty_in => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
766
                        rx_re_out => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP,
767
                        tx_av_out => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP,
768
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
769
                        tx_full_in => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP,
770
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
771
                        tx_we_out => crossbar_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP
772
                );
773
 
774
        led_packet_codec_7 : led_packet_codec
775
                port map (
776
                        clk => clk,
777
                        led_out => led_7_out,
778
                        rst_n => rst_n,
779
                        rx_av_in => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP,
780
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
781
                        rx_empty_in => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
782
                        rx_re_out => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP,
783
                        tx_av_out => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP,
784
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
785
                        tx_full_in => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP,
786
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
787
                        tx_we_out => crossbar_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP
788
                );
789
 
790
        switch_packet_codec_0 : switch_packet_codec
791
                generic map (
792
                        my_id_g => 0
793
                )
794
                port map (
795
                        clk => clk,
796
                        rst_n => rst_n,
797
                        rx_av_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_AV_TO_IP,
798
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
799
                        rx_empty_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
800
                        rx_re_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_RE_FROM_IP,
801
                        switch_in => switch_0_in,
802
                        tx_av_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_AV_FROM_IP,
803
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
804
                        tx_full_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_FULL_TO_IP,
805
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
806
                        tx_we_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_WE_FROM_IP
807
                );
808
 
809
        switch_packet_codec_1 : switch_packet_codec
810
                generic map (
811
                        my_id_g => 1
812
                )
813
                port map (
814
                        clk => clk,
815
                        rst_n => rst_n,
816
                        rx_av_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_AV_TO_IP,
817
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
818
                        rx_empty_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
819
                        rx_re_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecRX_RE_FROM_IP,
820
                        switch_in => switch_1_in,
821
                        tx_av_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_AV_FROM_IP,
822
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
823
                        tx_full_in => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_FULL_TO_IP,
824
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
825
                        tx_we_out => crossbar_network_max16ag_1_p8_to_switch_packet_codec_1_pkt_codecTX_WE_FROM_IP
826
                );
827
 
828
        switch_packet_codec_2 : switch_packet_codec
829
                generic map (
830
                        my_id_g => 2
831
                )
832
                port map (
833
                        clk => clk,
834
                        rst_n => rst_n,
835
                        rx_av_in => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_AV_TO_IP,
836
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
837
                        rx_empty_in => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
838
                        rx_re_out => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_RE_FROM_IP,
839
                        switch_in => switch_2_in,
840
                        tx_av_out => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_AV_FROM_IP,
841
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
842
                        tx_full_in => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_FULL_TO_IP,
843
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
844
                        tx_we_out => crossbar_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_WE_FROM_IP
845
                );
846
 
847
        switch_packet_codec_3 : switch_packet_codec
848
                generic map (
849
                        my_id_g => 3
850
                )
851
                port map (
852
                        clk => clk,
853
                        rst_n => rst_n,
854
                        rx_av_in => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_AV_TO_IP,
855
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
856
                        rx_empty_in => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
857
                        rx_re_out => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_RE_FROM_IP,
858
                        switch_in => switch_3_in,
859
                        tx_av_out => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_AV_FROM_IP,
860
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
861
                        tx_full_in => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_FULL_TO_IP,
862
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
863
                        tx_we_out => crossbar_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_WE_FROM_IP
864
                );
865
 
866
        switch_packet_codec_4 : switch_packet_codec
867
                generic map (
868
                        my_id_g => 4
869
                )
870
                port map (
871
                        clk => clk,
872
                        rst_n => rst_n,
873
                        rx_av_in => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_AV_TO_IP,
874
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
875
                        rx_empty_in => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
876
                        rx_re_out => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_RE_FROM_IP,
877
                        switch_in => switch_4_in,
878
                        tx_av_out => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_AV_FROM_IP,
879
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
880
                        tx_full_in => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_FULL_TO_IP,
881
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
882
                        tx_we_out => crossbar_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_WE_FROM_IP
883
                );
884
 
885
        switch_packet_codec_5 : switch_packet_codec
886
                generic map (
887
                        my_id_g => 5
888
                )
889
                port map (
890
                        clk => clk,
891
                        rst_n => rst_n,
892
                        rx_av_in => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_AV_TO_IP,
893
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
894
                        rx_empty_in => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
895
                        rx_re_out => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_RE_FROM_IP,
896
                        switch_in => switch_5_in,
897
                        tx_av_out => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_AV_FROM_IP,
898
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
899
                        tx_full_in => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_FULL_TO_IP,
900
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
901
                        tx_we_out => crossbar_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_WE_FROM_IP
902
                );
903
 
904
        switch_packet_codec_6 : switch_packet_codec
905
                generic map (
906
                        my_id_g => 6
907
                )
908
                port map (
909
                        clk => clk,
910
                        rst_n => rst_n,
911
                        rx_av_in => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_AV_TO_IP,
912
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
913
                        rx_empty_in => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
914
                        rx_re_out => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_RE_FROM_IP,
915
                        switch_in => switch_6_in,
916
                        tx_av_out => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_AV_FROM_IP,
917
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
918
                        tx_full_in => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_FULL_TO_IP,
919
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
920
                        tx_we_out => crossbar_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_WE_FROM_IP
921
                );
922
 
923
        switch_packet_codec_7 : switch_packet_codec
924
                generic map (
925
                        my_id_g => 7
926
                )
927
                port map (
928
                        clk => clk,
929
                        rst_n => rst_n,
930
                        rx_av_in => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_AV_TO_IP,
931
                        rx_data_in(31 downto 0) => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
932
                        rx_empty_in => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
933
                        rx_re_out => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_RE_FROM_IP,
934
                        switch_in => switch_7_in,
935
                        tx_av_out => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_AV_FROM_IP,
936
                        tx_data_out(31 downto 0) => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
937
                        tx_full_in => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_FULL_TO_IP,
938
                        tx_txlen_out(15 downto 0) => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
939
                        tx_we_out => crossbar_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_WE_FROM_IP
940
                );
941
 
942
end kactusHierarchical;
943
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.