OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [led_fh_mesh_2d_example/] [1.0/] [vhd/] [led_fh_mesh_2d_example.kactusHierarchical.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-- ***************************************************
2
-- File: led_fh_mesh_2d_example.kactusHierarchical.vhd
3
-- Creation date: 09.12.2011
4
-- Creation time: 09:50:00
5
-- Description: 
6
-- Created by: ege
7
-- This file was generated with Kactus2 vhdl generator.
8
-- ***************************************************
9
library IEEE;
10
library work;
11
use work.all;
12
use IEEE.std_logic_1164.all;
13
 
14
entity led_fh_mesh_2d_example is
15
 
16
        port (
17
 
18
                -- Interface: clk
19
                clk : in std_logic;
20
 
21
                -- Interface: led_0
22
                led_0_out : out std_logic;
23
 
24
                -- Interface: led_1
25
                led_1_out : out std_logic;
26
 
27
                -- Interface: led_2
28
                led_2_out : out std_logic;
29
 
30
                -- Interface: led_3
31
                led_3_out : out std_logic;
32
 
33
                -- Interface: led_4
34
                led_4_out : out std_logic;
35
 
36
                -- Interface: led_5
37
                led_5_out : out std_logic;
38
 
39
                -- Interface: led_6
40
                led_6_out : out std_logic;
41
 
42
                -- Interface: led_7
43
                led_7_out : out std_logic;
44
 
45
                -- Interface: rst_n
46
                rst_n : in std_logic;
47
 
48
                -- Interface: switch_0
49
                switch_0_in : in std_logic;
50
 
51
                -- Interface: switch_1
52
                switch_1_in : in std_logic;
53
 
54
                -- Interface: switch_2
55
                switch_2_in : in std_logic;
56
 
57
                -- Interface: switch_3
58
                switch_3_in : in std_logic;
59
 
60
                -- Interface: switch_4
61
                switch_4_in : in std_logic;
62
 
63
                -- Interface: switch_5
64
                switch_5_in : in std_logic;
65
 
66
                -- Interface: switch_6
67
                switch_6_in : in std_logic;
68
 
69
                -- Interface: switch_7
70
                switch_7_in : in std_logic);
71
 
72
end led_fh_mesh_2d_example;
73
 
74
 
75
architecture kactusHierarchical of led_fh_mesh_2d_example is
76
 
77
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_AV_TO_IP : std_logic;
78
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
79
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_EMPTY_TO_IP : std_logic;
80
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_RE_FROM_IP : std_logic;
81
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_AV_FROM_IP : std_logic;
82
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
83
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_FULL_TO_IP : std_logic;
84
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
85
        signal mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_WE_FROM_IP : std_logic;
86
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP : std_logic;
87
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
88
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP : std_logic;
89
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP : std_logic;
90
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP : std_logic;
91
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
92
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP : std_logic;
93
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
94
        signal mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP : std_logic;
95
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP : std_logic;
96
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
97
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP : std_logic;
98
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP : std_logic;
99
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP : std_logic;
100
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
101
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP : std_logic;
102
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
103
        signal mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP : std_logic;
104
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP : std_logic;
105
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
106
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP : std_logic;
107
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP : std_logic;
108
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP : std_logic;
109
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
110
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP : std_logic;
111
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
112
        signal mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP : std_logic;
113
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP : std_logic;
114
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
115
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP : std_logic;
116
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP : std_logic;
117
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP : std_logic;
118
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
119
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP : std_logic;
120
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
121
        signal mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP : std_logic;
122
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP : std_logic;
123
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
124
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP : std_logic;
125
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP : std_logic;
126
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP : std_logic;
127
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
128
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP : std_logic;
129
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
130
        signal mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP : std_logic;
131
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP : std_logic;
132
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
133
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP : std_logic;
134
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP : std_logic;
135
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP : std_logic;
136
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
137
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP : std_logic;
138
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
139
        signal mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP : std_logic;
140
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP : std_logic;
141
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
142
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP : std_logic;
143
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP : std_logic;
144
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP : std_logic;
145
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
146
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP : std_logic;
147
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
148
        signal mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP : std_logic;
149
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_AV_TO_IP : std_logic;
150
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
151
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_EMPTY_TO_IP : std_logic;
152
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_RE_FROM_IP : std_logic;
153
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_AV_FROM_IP : std_logic;
154
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
155
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_FULL_TO_IP : std_logic;
156
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
157
        signal mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_WE_FROM_IP : std_logic;
158
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_AV_TO_IP : std_logic;
159
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
160
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_EMPTY_TO_IP : std_logic;
161
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_RE_FROM_IP : std_logic;
162
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_AV_FROM_IP : std_logic;
163
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
164
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_FULL_TO_IP : std_logic;
165
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
166
        signal mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_WE_FROM_IP : std_logic;
167
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_AV_TO_IP : std_logic;
168
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
169
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_EMPTY_TO_IP : std_logic;
170
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_RE_FROM_IP : std_logic;
171
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_AV_FROM_IP : std_logic;
172
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
173
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_FULL_TO_IP : std_logic;
174
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
175
        signal mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_WE_FROM_IP : std_logic;
176
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_AV_TO_IP : std_logic;
177
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
178
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_EMPTY_TO_IP : std_logic;
179
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_RE_FROM_IP : std_logic;
180
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_AV_FROM_IP : std_logic;
181
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
182
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_FULL_TO_IP : std_logic;
183
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
184
        signal mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_WE_FROM_IP : std_logic;
185
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_AV_TO_IP : std_logic;
186
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
187
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_EMPTY_TO_IP : std_logic;
188
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_RE_FROM_IP : std_logic;
189
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_AV_FROM_IP : std_logic;
190
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
191
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_FULL_TO_IP : std_logic;
192
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
193
        signal mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_WE_FROM_IP : std_logic;
194
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_AV_TO_IP : std_logic;
195
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
196
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_EMPTY_TO_IP : std_logic;
197
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_RE_FROM_IP : std_logic;
198
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_AV_FROM_IP : std_logic;
199
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
200
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_FULL_TO_IP : std_logic;
201
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
202
        signal mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_WE_FROM_IP : std_logic;
203
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_AV_TO_IP : std_logic;
204
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
205
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_EMPTY_TO_IP : std_logic;
206
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_RE_FROM_IP : std_logic;
207
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_AV_FROM_IP : std_logic;
208
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
209
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_FULL_TO_IP : std_logic;
210
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
211
        signal mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_WE_FROM_IP : std_logic;
212
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_AV_TO_IP : std_logic;
213
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
214
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_EMPTY_TO_IP : std_logic;
215
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_RE_FROM_IP : std_logic;
216
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_AV_FROM_IP : std_logic;
217
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
218
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_FULL_TO_IP : std_logic;
219
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
220
        signal mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_WE_FROM_IP : std_logic;
221
 
222
        component mesh_network_max16ag
223
                generic (
224
                        addr_width_g : integer := 32;
225
                        data_width_g : integer := 32;
226
                        fifo_depth_g : integer := 6;
227
                        fill_packet_g : integer := 0;
228
                        ip_freq_g : integer := 50000000;
229
                        len_flit_en_g : integer := 1;
230
                        lut_en_g : integer := 0;
231
                        n_ag_g : integer := 16;
232
                        net_freq_g : integer := 50000000;
233
                        oaddr_flit_en_g : integer := 0;
234
                        packet_length_g : integer := 4;
235
                        status_en_g : integer := 0;
236
                        stfwd_en_g : integer := 0;
237
                        timeout_g : integer := 5;
238
                        tx_len_width_g : integer := 16
239
 
240
                );
241
                port (
242
 
243
                        -- Interface: clk_ip
244
                        clk_ip : in std_logic;
245
 
246
                        -- Interface: clk_net
247
                        clk_net : in std_logic;
248
 
249
                        -- Interface: p0
250
                        port0_rx_re_in : in std_logic;
251
                        port0_tx_av_in : in std_logic;
252
                        port0_tx_data_in : in std_logic_vector(31 downto 0);
253
                        port0_tx_txlen_in : in std_logic_vector(15 downto 0);
254
                        port0_tx_we_in : in std_logic;
255
                        port0_rx_av_out : out std_logic;
256
                        port0_rx_data_out : out std_logic_vector(31 downto 0);
257
                        port0_rx_empty_out : out std_logic;
258
                        port0_tx_full_out : out std_logic;
259
 
260
                        -- Interface: p1
261
                        port1_rx_re_in : in std_logic;
262
                        port1_tx_av_in : in std_logic;
263
                        port1_tx_data_in : in std_logic_vector(31 downto 0);
264
                        port1_tx_txlen_in : in std_logic_vector(15 downto 0);
265
                        port1_tx_we_in : in std_logic;
266
                        port1_rx_av_out : out std_logic;
267
                        port1_rx_data_out : out std_logic_vector(31 downto 0);
268
                        port1_rx_empty_out : out std_logic;
269
                        port1_tx_full_out : out std_logic;
270
 
271
                        -- Interface: p10
272
                        port10_rx_re_in : in std_logic;
273
                        port10_tx_av_in : in std_logic;
274
                        port10_tx_data_in : in std_logic_vector(31 downto 0);
275
                        port10_tx_txlen_in : in std_logic_vector(15 downto 0);
276
                        port10_tx_we_in : in std_logic;
277
                        port10_rx_av_out : out std_logic;
278
                        port10_rx_data_out : out std_logic_vector(31 downto 0);
279
                        port10_rx_empty_out : out std_logic;
280
                        port10_tx_full_out : out std_logic;
281
 
282
                        -- Interface: p11
283
                        port11_rx_re_in : in std_logic;
284
                        port11_tx_av_in : in std_logic;
285
                        port11_tx_data_in : in std_logic_vector(31 downto 0);
286
                        port11_tx_txlen_in : in std_logic_vector(15 downto 0);
287
                        port11_tx_we_in : in std_logic;
288
                        port11_rx_av_out : out std_logic;
289
                        port11_rx_data_out : out std_logic_vector(31 downto 0);
290
                        port11_rx_empty_out : out std_logic;
291
                        port11_tx_full_out : out std_logic;
292
 
293
                        -- Interface: p12
294
                        port12_rx_re_in : in std_logic;
295
                        port12_tx_av_in : in std_logic;
296
                        port12_tx_data_in : in std_logic_vector(31 downto 0);
297
                        port12_tx_txlen_in : in std_logic_vector(15 downto 0);
298
                        port12_tx_we_in : in std_logic;
299
                        port12_rx_av_out : out std_logic;
300
                        port12_rx_data_out : out std_logic_vector(31 downto 0);
301
                        port12_rx_empty_out : out std_logic;
302
                        port12_tx_full_out : out std_logic;
303
 
304
                        -- Interface: p13
305
                        port13_rx_re_in : in std_logic;
306
                        port13_tx_av_in : in std_logic;
307
                        port13_tx_data_in : in std_logic_vector(31 downto 0);
308
                        port13_tx_txlen_in : in std_logic_vector(15 downto 0);
309
                        port13_tx_we_in : in std_logic;
310
                        port13_rx_av_out : out std_logic;
311
                        port13_rx_data_out : out std_logic_vector(31 downto 0);
312
                        port13_rx_empty_out : out std_logic;
313
                        port13_tx_full_out : out std_logic;
314
 
315
                        -- Interface: p14
316
                        port14_rx_re_in : in std_logic;
317
                        port14_tx_av_in : in std_logic;
318
                        port14_tx_data_in : in std_logic_vector(31 downto 0);
319
                        port14_tx_txlen_in : in std_logic_vector(15 downto 0);
320
                        port14_tx_we_in : in std_logic;
321
                        port14_rx_av_out : out std_logic;
322
                        port14_rx_data_out : out std_logic_vector(31 downto 0);
323
                        port14_rx_empty_out : out std_logic;
324
                        port14_tx_full_out : out std_logic;
325
 
326
                        -- Interface: p15
327
                        port15_rx_re_in : in std_logic;
328
                        port15_tx_av_in : in std_logic;
329
                        port15_tx_data_in : in std_logic_vector(31 downto 0);
330
                        port15_tx_txlen_in : in std_logic_vector(15 downto 0);
331
                        port15_tx_we_in : in std_logic;
332
                        port15_rx_av_out : out std_logic;
333
                        port15_rx_data_out : out std_logic_vector(31 downto 0);
334
                        port15_rx_empty_out : out std_logic;
335
                        port15_tx_full_out : out std_logic;
336
 
337
                        -- Interface: p2
338
                        port2_rx_re_in : in std_logic;
339
                        port2_tx_av_in : in std_logic;
340
                        port2_tx_data_in : in std_logic_vector(31 downto 0);
341
                        port2_tx_txlen_in : in std_logic_vector(15 downto 0);
342
                        port2_tx_we_in : in std_logic;
343
                        port2_rx_av_out : out std_logic;
344
                        port2_rx_data_out : out std_logic_vector(31 downto 0);
345
                        port2_rx_empty_out : out std_logic;
346
                        port2_tx_full_out : out std_logic;
347
 
348
                        -- Interface: p3
349
                        port3_rx_re_in : in std_logic;
350
                        port3_tx_av_in : in std_logic;
351
                        port3_tx_data_in : in std_logic_vector(31 downto 0);
352
                        port3_tx_txlen_in : in std_logic_vector(15 downto 0);
353
                        port3_tx_we_in : in std_logic;
354
                        port3_rx_av_out : out std_logic;
355
                        port3_rx_data_out : out std_logic_vector(31 downto 0);
356
                        port3_rx_empty_out : out std_logic;
357
                        port3_tx_full_out : out std_logic;
358
 
359
                        -- Interface: p4
360
                        port4_rx_re_in : in std_logic;
361
                        port4_tx_av_in : in std_logic;
362
                        port4_tx_data_in : in std_logic_vector(31 downto 0);
363
                        port4_tx_txlen_in : in std_logic_vector(15 downto 0);
364
                        port4_tx_we_in : in std_logic;
365
                        port4_rx_av_out : out std_logic;
366
                        port4_rx_data_out : out std_logic_vector(31 downto 0);
367
                        port4_rx_empty_out : out std_logic;
368
                        port4_tx_full_out : out std_logic;
369
 
370
                        -- Interface: p5
371
                        port5_rx_re_in : in std_logic;
372
                        port5_tx_av_in : in std_logic;
373
                        port5_tx_data_in : in std_logic_vector(31 downto 0);
374
                        port5_tx_txlen_in : in std_logic_vector(15 downto 0);
375
                        port5_tx_we_in : in std_logic;
376
                        port5_rx_av_out : out std_logic;
377
                        port5_rx_data_out : out std_logic_vector(31 downto 0);
378
                        port5_rx_empty_out : out std_logic;
379
                        port5_tx_full_out : out std_logic;
380
 
381
                        -- Interface: p6
382
                        port6_rx_re_in : in std_logic;
383
                        port6_tx_av_in : in std_logic;
384
                        port6_tx_data_in : in std_logic_vector(31 downto 0);
385
                        port6_tx_txlen_in : in std_logic_vector(15 downto 0);
386
                        port6_tx_we_in : in std_logic;
387
                        port6_rx_av_out : out std_logic;
388
                        port6_rx_data_out : out std_logic_vector(31 downto 0);
389
                        port6_rx_empty_out : out std_logic;
390
                        port6_tx_full_out : out std_logic;
391
 
392
                        -- Interface: p7
393
                        port7_rx_re_in : in std_logic;
394
                        port7_tx_av_in : in std_logic;
395
                        port7_tx_data_in : in std_logic_vector(31 downto 0);
396
                        port7_tx_txlen_in : in std_logic_vector(15 downto 0);
397
                        port7_tx_we_in : in std_logic;
398
                        port7_rx_av_out : out std_logic;
399
                        port7_rx_data_out : out std_logic_vector(31 downto 0);
400
                        port7_rx_empty_out : out std_logic;
401
                        port7_tx_full_out : out std_logic;
402
 
403
                        -- Interface: p8
404
                        port8_rx_re_in : in std_logic;
405
                        port8_tx_av_in : in std_logic;
406
                        port8_tx_data_in : in std_logic_vector(31 downto 0);
407
                        port8_tx_txlen_in : in std_logic_vector(15 downto 0);
408
                        port8_tx_we_in : in std_logic;
409
                        port8_rx_av_out : out std_logic;
410
                        port8_rx_data_out : out std_logic_vector(31 downto 0);
411
                        port8_rx_empty_out : out std_logic;
412
                        port8_tx_full_out : out std_logic;
413
 
414
                        -- Interface: p9
415
                        port9_rx_re_in : in std_logic;
416
                        port9_tx_av_in : in std_logic;
417
                        port9_tx_data_in : in std_logic_vector(31 downto 0);
418
                        port9_tx_txlen_in : in std_logic_vector(15 downto 0);
419
                        port9_tx_we_in : in std_logic;
420
                        port9_rx_av_out : out std_logic;
421
                        port9_rx_data_out : out std_logic_vector(31 downto 0);
422
                        port9_rx_empty_out : out std_logic;
423
                        port9_tx_full_out : out std_logic;
424
 
425
                        -- Interface: rst_n
426
                        rst_n : in std_logic
427
 
428
                );
429
        end component;
430
 
431
        component led_packet_codec
432
                generic (
433
                        data_width_g : integer := 32;
434
                        tx_len_width_g : integer := 16
435
 
436
                );
437
                port (
438
 
439
                        -- Interface: clk
440
                        clk : in std_logic;
441
 
442
                        -- Interface: led
443
                        led_out : out std_logic;
444
 
445
                        -- Interface: pkt_codec
446
                        rx_av_in : in std_logic;
447
                        rx_data_in : in std_logic_vector(31 downto 0);
448
                        rx_empty_in : in std_logic;
449
                        tx_full_in : in std_logic;
450
                        rx_re_out : out std_logic;
451
                        tx_av_out : out std_logic;
452
                        tx_data_out : out std_logic_vector(31 downto 0);
453
                        tx_txlen_out : out std_logic_vector(15 downto 0);
454
                        tx_we_out : out std_logic;
455
 
456
                        -- Interface: rst_n
457
                        rst_n : in std_logic
458
 
459
                );
460
        end component;
461
 
462
        component switch_packet_codec
463
                generic (
464
                        data_width_g : integer := 32;
465
                        my_id_g : integer := 0;
466
                        tx_len_width_g : integer := 16
467
 
468
                );
469
                port (
470
 
471
                        -- Interface: clk
472
                        clk : in std_logic;
473
 
474
                        -- Interface: pkt_codec
475
                        rx_av_in : in std_logic;
476
                        rx_data_in : in std_logic_vector(31 downto 0);
477
                        rx_empty_in : in std_logic;
478
                        tx_full_in : in std_logic;
479
                        rx_re_out : out std_logic;
480
                        tx_av_out : out std_logic;
481
                        tx_data_out : out std_logic_vector(31 downto 0);
482
                        tx_txlen_out : out std_logic_vector(15 downto 0);
483
                        tx_we_out : out std_logic;
484
 
485
                        -- Interface: rst_n
486
                        rst_n : in std_logic;
487
 
488
                        -- Interface: switch
489
                        switch_in : in std_logic
490
 
491
                );
492
        end component;
493
 
494
        -- You can write vhdl code after this tag and it is saved through the generator.
495
        -- ##KACTUS2_BLACK_BOX_DECLARATIONS_BEGIN##
496
        -- ##KACTUS2_BLACK_BOX_DECLARATIONS_END##
497
        -- Stop writing your code after this tag.
498
 
499
 
500
begin
501
 
502
        -- You can write vhdl code after this tag and it is saved through the generator.
503
        -- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_BEGIN##
504
        -- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_END##
505
        -- Stop writing your code after this tag.
506
 
507
        led_packet_codec_0 : led_packet_codec
508
                port map (
509
                        clk => clk,
510
                        led_out => led_0_out,
511
                        rst_n => rst_n,
512
                        rx_av_in => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_AV_TO_IP,
513
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
514
                        rx_empty_in => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
515
                        rx_re_out => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_RE_FROM_IP,
516
                        tx_av_out => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_AV_FROM_IP,
517
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
518
                        tx_full_in => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_FULL_TO_IP,
519
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
520
                        tx_we_out => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_WE_FROM_IP
521
                );
522
 
523
        led_packet_codec_1 : led_packet_codec
524
                port map (
525
                        clk => clk,
526
                        led_out => led_1_out,
527
                        rst_n => rst_n,
528
                        rx_av_in => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP,
529
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
530
                        rx_empty_in => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
531
                        rx_re_out => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP,
532
                        tx_av_out => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP,
533
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
534
                        tx_full_in => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP,
535
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
536
                        tx_we_out => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP
537
                );
538
 
539
        led_packet_codec_2 : led_packet_codec
540
                port map (
541
                        clk => clk,
542
                        led_out => led_2_out,
543
                        rst_n => rst_n,
544
                        rx_av_in => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP,
545
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
546
                        rx_empty_in => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
547
                        rx_re_out => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP,
548
                        tx_av_out => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP,
549
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
550
                        tx_full_in => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP,
551
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
552
                        tx_we_out => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP
553
                );
554
 
555
        led_packet_codec_3 : led_packet_codec
556
                port map (
557
                        clk => clk,
558
                        led_out => led_3_out,
559
                        rst_n => rst_n,
560
                        rx_av_in => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP,
561
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
562
                        rx_empty_in => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
563
                        rx_re_out => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP,
564
                        tx_av_out => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP,
565
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
566
                        tx_full_in => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP,
567
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
568
                        tx_we_out => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP
569
                );
570
 
571
        led_packet_codec_4 : led_packet_codec
572
                port map (
573
                        clk => clk,
574
                        led_out => led_4_out,
575
                        rst_n => rst_n,
576
                        rx_av_in => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP,
577
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
578
                        rx_empty_in => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
579
                        rx_re_out => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP,
580
                        tx_av_out => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP,
581
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
582
                        tx_full_in => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP,
583
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
584
                        tx_we_out => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP
585
                );
586
 
587
        led_packet_codec_5 : led_packet_codec
588
                port map (
589
                        clk => clk,
590
                        led_out => led_5_out,
591
                        rst_n => rst_n,
592
                        rx_av_in => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP,
593
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
594
                        rx_empty_in => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
595
                        rx_re_out => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP,
596
                        tx_av_out => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP,
597
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
598
                        tx_full_in => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP,
599
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
600
                        tx_we_out => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP
601
                );
602
 
603
        led_packet_codec_6 : led_packet_codec
604
                port map (
605
                        clk => clk,
606
                        led_out => led_6_out,
607
                        rst_n => rst_n,
608
                        rx_av_in => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP,
609
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
610
                        rx_empty_in => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
611
                        rx_re_out => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP,
612
                        tx_av_out => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP,
613
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
614
                        tx_full_in => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP,
615
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
616
                        tx_we_out => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP
617
                );
618
 
619
        led_packet_codec_7 : led_packet_codec
620
                port map (
621
                        clk => clk,
622
                        led_out => led_7_out,
623
                        rst_n => rst_n,
624
                        rx_av_in => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP,
625
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
626
                        rx_empty_in => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
627
                        rx_re_out => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP,
628
                        tx_av_out => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP,
629
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
630
                        tx_full_in => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP,
631
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
632
                        tx_we_out => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP
633
                );
634
 
635
        mesh_network_max16ag_1 : mesh_network_max16ag
636
                port map (
637
                        clk_ip => clk,
638
                        clk_net => clk,
639
                        port0_rx_av_out => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_AV_TO_IP,
640
                        port0_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
641
                        port0_rx_empty_out => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
642
                        port0_rx_re_in => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecRX_RE_FROM_IP,
643
                        port0_tx_av_in => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_AV_FROM_IP,
644
                        port0_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
645
                        port0_tx_full_out => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_FULL_TO_IP,
646
                        port0_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
647
                        port0_tx_we_in => mesh_network_max16ag_1_p0_to_led_packet_codec_0_pkt_codecTX_WE_FROM_IP,
648
                        port10_rx_av_out => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_AV_TO_IP,
649
                        port10_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
650
                        port10_rx_empty_out => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
651
                        port10_rx_re_in => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_RE_FROM_IP,
652
                        port10_tx_av_in => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_AV_FROM_IP,
653
                        port10_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
654
                        port10_tx_full_out => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_FULL_TO_IP,
655
                        port10_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
656
                        port10_tx_we_in => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_WE_FROM_IP,
657
                        port11_rx_av_out => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_AV_TO_IP,
658
                        port11_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
659
                        port11_rx_empty_out => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
660
                        port11_rx_re_in => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_RE_FROM_IP,
661
                        port11_tx_av_in => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_AV_FROM_IP,
662
                        port11_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
663
                        port11_tx_full_out => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_FULL_TO_IP,
664
                        port11_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
665
                        port11_tx_we_in => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_WE_FROM_IP,
666
                        port12_rx_av_out => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_AV_TO_IP,
667
                        port12_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
668
                        port12_rx_empty_out => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
669
                        port12_rx_re_in => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_RE_FROM_IP,
670
                        port12_tx_av_in => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_AV_FROM_IP,
671
                        port12_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
672
                        port12_tx_full_out => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_FULL_TO_IP,
673
                        port12_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
674
                        port12_tx_we_in => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_WE_FROM_IP,
675
                        port13_rx_av_out => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_AV_TO_IP,
676
                        port13_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
677
                        port13_rx_empty_out => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
678
                        port13_rx_re_in => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_RE_FROM_IP,
679
                        port13_tx_av_in => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_AV_FROM_IP,
680
                        port13_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
681
                        port13_tx_full_out => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_FULL_TO_IP,
682
                        port13_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
683
                        port13_tx_we_in => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_WE_FROM_IP,
684
                        port14_rx_av_out => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_AV_TO_IP,
685
                        port14_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
686
                        port14_rx_empty_out => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
687
                        port14_rx_re_in => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_RE_FROM_IP,
688
                        port14_tx_av_in => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_AV_FROM_IP,
689
                        port14_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
690
                        port14_tx_full_out => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_FULL_TO_IP,
691
                        port14_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
692
                        port14_tx_we_in => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_WE_FROM_IP,
693
                        port15_rx_av_out => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_AV_TO_IP,
694
                        port15_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
695
                        port15_rx_empty_out => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
696
                        port15_rx_re_in => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_RE_FROM_IP,
697
                        port15_tx_av_in => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_AV_FROM_IP,
698
                        port15_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
699
                        port15_tx_full_out => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_FULL_TO_IP,
700
                        port15_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
701
                        port15_tx_we_in => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_WE_FROM_IP,
702
                        port1_rx_av_out => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP,
703
                        port1_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
704
                        port1_rx_empty_out => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
705
                        port1_rx_re_in => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP,
706
                        port1_tx_av_in => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP,
707
                        port1_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
708
                        port1_tx_full_out => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP,
709
                        port1_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
710
                        port1_tx_we_in => mesh_network_max16ag_1_p1_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP,
711
                        port2_rx_av_out => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP,
712
                        port2_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
713
                        port2_rx_empty_out => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
714
                        port2_rx_re_in => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP,
715
                        port2_tx_av_in => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP,
716
                        port2_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
717
                        port2_tx_full_out => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP,
718
                        port2_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
719
                        port2_tx_we_in => mesh_network_max16ag_1_p2_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP,
720
                        port3_rx_av_out => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP,
721
                        port3_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
722
                        port3_rx_empty_out => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
723
                        port3_rx_re_in => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP,
724
                        port3_tx_av_in => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP,
725
                        port3_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
726
                        port3_tx_full_out => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP,
727
                        port3_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
728
                        port3_tx_we_in => mesh_network_max16ag_1_p3_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP,
729
                        port4_rx_av_out => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP,
730
                        port4_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
731
                        port4_rx_empty_out => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
732
                        port4_rx_re_in => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP,
733
                        port4_tx_av_in => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP,
734
                        port4_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
735
                        port4_tx_full_out => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP,
736
                        port4_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
737
                        port4_tx_we_in => mesh_network_max16ag_1_p4_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP,
738
                        port5_rx_av_out => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP,
739
                        port5_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
740
                        port5_rx_empty_out => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
741
                        port5_rx_re_in => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP,
742
                        port5_tx_av_in => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP,
743
                        port5_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
744
                        port5_tx_full_out => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP,
745
                        port5_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
746
                        port5_tx_we_in => mesh_network_max16ag_1_p5_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP,
747
                        port6_rx_av_out => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP,
748
                        port6_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
749
                        port6_rx_empty_out => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
750
                        port6_rx_re_in => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP,
751
                        port6_tx_av_in => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP,
752
                        port6_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
753
                        port6_tx_full_out => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP,
754
                        port6_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
755
                        port6_tx_we_in => mesh_network_max16ag_1_p6_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP,
756
                        port7_rx_av_out => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP,
757
                        port7_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
758
                        port7_rx_empty_out => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
759
                        port7_rx_re_in => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP,
760
                        port7_tx_av_in => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP,
761
                        port7_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
762
                        port7_tx_full_out => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP,
763
                        port7_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
764
                        port7_tx_we_in => mesh_network_max16ag_1_p7_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP,
765
                        port8_rx_av_out => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_AV_TO_IP,
766
                        port8_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
767
                        port8_rx_empty_out => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
768
                        port8_rx_re_in => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_RE_FROM_IP,
769
                        port8_tx_av_in => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_AV_FROM_IP,
770
                        port8_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
771
                        port8_tx_full_out => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_FULL_TO_IP,
772
                        port8_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
773
                        port8_tx_we_in => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_WE_FROM_IP,
774
                        port9_rx_av_out => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_AV_TO_IP,
775
                        port9_rx_data_out(31 downto 0) => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
776
                        port9_rx_empty_out => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
777
                        port9_rx_re_in => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_RE_FROM_IP,
778
                        port9_tx_av_in => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_AV_FROM_IP,
779
                        port9_tx_data_in(31 downto 0) => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
780
                        port9_tx_full_out => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_FULL_TO_IP,
781
                        port9_tx_txlen_in(15 downto 0) => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
782
                        port9_tx_we_in => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_WE_FROM_IP,
783
                        rst_n => rst_n
784
                );
785
 
786
        switch_packet_codec_0 : switch_packet_codec
787
                generic map (
788
                        my_id_g => 0
789
                )
790
                port map (
791
                        clk => clk,
792
                        rst_n => rst_n,
793
                        rx_av_in => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_AV_TO_IP,
794
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_DATA_TO_IP(31 downto 0),
795
                        rx_empty_in => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_EMPTY_TO_IP,
796
                        rx_re_out => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecRX_RE_FROM_IP,
797
                        switch_in => switch_0_in,
798
                        tx_av_out => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_AV_FROM_IP,
799
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_DATA_FROM_IP(31 downto 0),
800
                        tx_full_in => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_FULL_TO_IP,
801
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
802
                        tx_we_out => mesh_network_max16ag_1_p8_to_switch_packet_codec_0_pkt_codecTX_WE_FROM_IP
803
                );
804
 
805
        switch_packet_codec_1 : switch_packet_codec
806
                generic map (
807
                        my_id_g => 1
808
                )
809
                port map (
810
                        clk => clk,
811
                        rst_n => rst_n,
812
                        rx_av_in => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_AV_TO_IP,
813
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
814
                        rx_empty_in => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
815
                        rx_re_out => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecRX_RE_FROM_IP,
816
                        switch_in => switch_1_in,
817
                        tx_av_out => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_AV_FROM_IP,
818
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
819
                        tx_full_in => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_FULL_TO_IP,
820
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
821
                        tx_we_out => mesh_network_max16ag_1_p9_to_switch_packet_codec_1_pkt_codecTX_WE_FROM_IP
822
                );
823
 
824
        switch_packet_codec_2 : switch_packet_codec
825
                generic map (
826
                        my_id_g => 2
827
                )
828
                port map (
829
                        clk => clk,
830
                        rst_n => rst_n,
831
                        rx_av_in => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_AV_TO_IP,
832
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
833
                        rx_empty_in => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
834
                        rx_re_out => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecRX_RE_FROM_IP,
835
                        switch_in => switch_2_in,
836
                        tx_av_out => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_AV_FROM_IP,
837
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
838
                        tx_full_in => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_FULL_TO_IP,
839
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
840
                        tx_we_out => mesh_network_max16ag_1_p10_to_switch_packet_codec_2_pkt_codecTX_WE_FROM_IP
841
                );
842
 
843
        switch_packet_codec_3 : switch_packet_codec
844
                generic map (
845
                        my_id_g => 3
846
                )
847
                port map (
848
                        clk => clk,
849
                        rst_n => rst_n,
850
                        rx_av_in => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_AV_TO_IP,
851
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
852
                        rx_empty_in => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
853
                        rx_re_out => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecRX_RE_FROM_IP,
854
                        switch_in => switch_3_in,
855
                        tx_av_out => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_AV_FROM_IP,
856
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
857
                        tx_full_in => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_FULL_TO_IP,
858
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
859
                        tx_we_out => mesh_network_max16ag_1_p11_to_switch_packet_codec_3_pkt_codecTX_WE_FROM_IP
860
                );
861
 
862
        switch_packet_codec_4 : switch_packet_codec
863
                generic map (
864
                        my_id_g => 65536
865
                )
866
                port map (
867
                        clk => clk,
868
                        rst_n => rst_n,
869
                        rx_av_in => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_AV_TO_IP,
870
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
871
                        rx_empty_in => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
872
                        rx_re_out => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecRX_RE_FROM_IP,
873
                        switch_in => switch_4_in,
874
                        tx_av_out => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_AV_FROM_IP,
875
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
876
                        tx_full_in => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_FULL_TO_IP,
877
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
878
                        tx_we_out => mesh_network_max16ag_1_p12_to_switch_packet_codec_4_pkt_codecTX_WE_FROM_IP
879
                );
880
 
881
        switch_packet_codec_5 : switch_packet_codec
882
                generic map (
883
                        my_id_g => 65537
884
                )
885
                port map (
886
                        clk => clk,
887
                        rst_n => rst_n,
888
                        rx_av_in => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_AV_TO_IP,
889
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
890
                        rx_empty_in => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
891
                        rx_re_out => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecRX_RE_FROM_IP,
892
                        switch_in => switch_5_in,
893
                        tx_av_out => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_AV_FROM_IP,
894
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
895
                        tx_full_in => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_FULL_TO_IP,
896
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
897
                        tx_we_out => mesh_network_max16ag_1_p13_to_switch_packet_codec_5_pkt_codecTX_WE_FROM_IP
898
                );
899
 
900
        switch_packet_codec_6 : switch_packet_codec
901
                generic map (
902
                        my_id_g => 65538
903
                )
904
                port map (
905
                        clk => clk,
906
                        rst_n => rst_n,
907
                        rx_av_in => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_AV_TO_IP,
908
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
909
                        rx_empty_in => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
910
                        rx_re_out => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecRX_RE_FROM_IP,
911
                        switch_in => switch_6_in,
912
                        tx_av_out => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_AV_FROM_IP,
913
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
914
                        tx_full_in => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_FULL_TO_IP,
915
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
916
                        tx_we_out => mesh_network_max16ag_1_p14_to_switch_packet_codec_6_pkt_codecTX_WE_FROM_IP
917
                );
918
 
919
        switch_packet_codec_7 : switch_packet_codec
920
                generic map (
921
                        my_id_g => 65539
922
                )
923
                port map (
924
                        clk => clk,
925
                        rst_n => rst_n,
926
                        rx_av_in => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_AV_TO_IP,
927
                        rx_data_in(31 downto 0) => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
928
                        rx_empty_in => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
929
                        rx_re_out => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecRX_RE_FROM_IP,
930
                        switch_in => switch_7_in,
931
                        tx_av_out => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_AV_FROM_IP,
932
                        tx_data_out(31 downto 0) => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
933
                        tx_full_in => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_FULL_TO_IP,
934
                        tx_txlen_out(15 downto 0) => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
935
                        tx_we_out => mesh_network_max16ag_1_p15_to_switch_packet_codec_7_pkt_codecTX_WE_FROM_IP
936
                );
937
 
938
end kactusHierarchical;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.