OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [led_fh_ring_example/] [1.0/] [vhd/] [led_fh_ring_example.kactusHierarchical.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-- ***************************************************
2
-- File: led_fh_ring_example.kactusHierarchical.vhd
3
-- Creation date: 12.12.2011
4
-- Creation time: 16:35:18
5
-- Description: 
6
-- Created by: ege
7
-- This file was generated with Kactus2 vhdl generator.
8
-- ***************************************************
9
library IEEE;
10
library work;
11
use work.all;
12
use IEEE.std_logic_1164.all;
13
 
14
entity led_fh_ring_example is
15
 
16
        port (
17
 
18
                -- Interface: clk
19
                clk : in std_logic;
20
 
21
                -- Interface: led_0
22
                led_0_out : out std_logic;
23
 
24
                -- Interface: led_1
25
                led_1_out : out std_logic;
26
 
27
                -- Interface: led_2
28
                led_2_out : out std_logic;
29
 
30
                -- Interface: led_3
31
                led_3_out : out std_logic;
32
 
33
                -- Interface: led_4
34
                led_4_out : out std_logic;
35
 
36
                -- Interface: led_5
37
                led_5_out : out std_logic;
38
 
39
                -- Interface: led_6
40
                led_6_out : out std_logic;
41
 
42
                -- Interface: led_7
43
                led_7_out : out std_logic;
44
 
45
                -- Interface: rst_n
46
                rst_n : in std_logic;
47
 
48
                -- Interface: switch_0
49
                switch_0_in : in std_logic;
50
 
51
                -- Interface: switch_1
52
                switch_1_in : in std_logic;
53
 
54
                -- Interface: switch_2
55
                switch_2_in : in std_logic;
56
 
57
                -- Interface: switch_3
58
                switch_3_in : in std_logic;
59
 
60
                -- Interface: switch_4
61
                switch_4_in : in std_logic;
62
 
63
                -- Interface: switch_5
64
                switch_5_in : in std_logic;
65
 
66
                -- Interface: switch_6
67
                switch_6_in : in std_logic;
68
 
69
                -- Interface: switch_7
70
                switch_7_in : in std_logic);
71
 
72
end led_fh_ring_example;
73
 
74
 
75
architecture kactusHierarchical of led_fh_ring_example is
76
 
77
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP : std_logic;
78
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
79
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP : std_logic;
80
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP : std_logic;
81
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP : std_logic;
82
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
83
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP : std_logic;
84
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
85
        signal ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP : std_logic;
86
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP : std_logic;
87
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
88
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP : std_logic;
89
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP : std_logic;
90
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP : std_logic;
91
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
92
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP : std_logic;
93
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
94
        signal ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP : std_logic;
95
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP : std_logic;
96
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
97
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP : std_logic;
98
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP : std_logic;
99
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP : std_logic;
100
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
101
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP : std_logic;
102
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
103
        signal ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP : std_logic;
104
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP : std_logic;
105
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
106
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP : std_logic;
107
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP : std_logic;
108
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP : std_logic;
109
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
110
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP : std_logic;
111
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
112
        signal ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP : std_logic;
113
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP : std_logic;
114
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
115
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP : std_logic;
116
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP : std_logic;
117
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP : std_logic;
118
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
119
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP : std_logic;
120
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
121
        signal ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP : std_logic;
122
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP : std_logic;
123
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
124
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP : std_logic;
125
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP : std_logic;
126
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP : std_logic;
127
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
128
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP : std_logic;
129
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
130
        signal ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP : std_logic;
131
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP : std_logic;
132
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
133
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP : std_logic;
134
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP : std_logic;
135
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP : std_logic;
136
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
137
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP : std_logic;
138
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
139
        signal ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP : std_logic;
140
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_AV_TO_IP : std_logic;
141
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_DATA_TO_IP : std_logic_vector(31 downto 0);
142
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_EMPTY_TO_IP : std_logic;
143
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_RE_FROM_IP : std_logic;
144
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_AV_FROM_IP : std_logic;
145
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_DATA_FROM_IP : std_logic_vector(31 downto 0);
146
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_FULL_TO_IP : std_logic;
147
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
148
        signal ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_WE_FROM_IP : std_logic;
149
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_AV_TO_IP : std_logic;
150
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_DATA_TO_IP : std_logic_vector(31 downto 0);
151
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_EMPTY_TO_IP : std_logic;
152
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_RE_FROM_IP : std_logic;
153
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_AV_FROM_IP : std_logic;
154
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
155
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_FULL_TO_IP : std_logic;
156
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
157
        signal switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_WE_FROM_IP : std_logic;
158
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_AV_TO_IP : std_logic;
159
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_DATA_TO_IP : std_logic_vector(31 downto 0);
160
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_EMPTY_TO_IP : std_logic;
161
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_RE_FROM_IP : std_logic;
162
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_AV_FROM_IP : std_logic;
163
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
164
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_FULL_TO_IP : std_logic;
165
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
166
        signal switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_WE_FROM_IP : std_logic;
167
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_AV_TO_IP : std_logic;
168
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_DATA_TO_IP : std_logic_vector(31 downto 0);
169
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_EMPTY_TO_IP : std_logic;
170
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_RE_FROM_IP : std_logic;
171
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_AV_FROM_IP : std_logic;
172
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
173
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_FULL_TO_IP : std_logic;
174
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
175
        signal switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_WE_FROM_IP : std_logic;
176
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_AV_TO_IP : std_logic;
177
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_DATA_TO_IP : std_logic_vector(31 downto 0);
178
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_EMPTY_TO_IP : std_logic;
179
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_RE_FROM_IP : std_logic;
180
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_AV_FROM_IP : std_logic;
181
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
182
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_FULL_TO_IP : std_logic;
183
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
184
        signal switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_WE_FROM_IP : std_logic;
185
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_AV_TO_IP : std_logic;
186
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_DATA_TO_IP : std_logic_vector(31 downto 0);
187
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_EMPTY_TO_IP : std_logic;
188
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_RE_FROM_IP : std_logic;
189
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_AV_FROM_IP : std_logic;
190
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
191
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_FULL_TO_IP : std_logic;
192
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
193
        signal switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_WE_FROM_IP : std_logic;
194
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_AV_TO_IP : std_logic;
195
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_DATA_TO_IP : std_logic_vector(31 downto 0);
196
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_EMPTY_TO_IP : std_logic;
197
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_RE_FROM_IP : std_logic;
198
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_AV_FROM_IP : std_logic;
199
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
200
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_FULL_TO_IP : std_logic;
201
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
202
        signal switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_WE_FROM_IP : std_logic;
203
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_AV_TO_IP : std_logic;
204
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_DATA_TO_IP : std_logic_vector(31 downto 0);
205
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_EMPTY_TO_IP : std_logic;
206
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_RE_FROM_IP : std_logic;
207
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_AV_FROM_IP : std_logic;
208
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
209
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_FULL_TO_IP : std_logic;
210
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
211
        signal switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_WE_FROM_IP : std_logic;
212
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_AV_TO_IP : std_logic;
213
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_DATA_TO_IP : std_logic_vector(31 downto 0);
214
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_EMPTY_TO_IP : std_logic;
215
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_RE_FROM_IP : std_logic;
216
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_AV_FROM_IP : std_logic;
217
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_DATA_FROM_IP : std_logic_vector(31 downto 0);
218
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_FULL_TO_IP : std_logic;
219
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_TXLEN_FROM_IP : std_logic_vector(15 downto 0);
220
        signal switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_WE_FROM_IP : std_logic;
221
 
222
        component ring_network_max16ag
223
                generic (
224
                        addr_width_g : integer := 32;
225
                        data_width_g : integer := 32;
226
                        diag_en_g : integer := 0;
227
                        fifo_depth_g : integer := 6;
228
                        fill_packet_g : integer := 0;
229
                        ip_freq_g : integer := 50000000;
230
                        len_flit_en_g : integer := 1;
231
                        lut_en_g : integer := 0;
232
                        n_ag_g : integer := 16;
233
                        oaddr_flit_en_g : integer := 0;
234
                        packet_length_g : integer := 4;
235
                        ring_freq_g : integer := 50000000;
236
                        status_en_g : integer := 0;
237
                        stfwd_en_g : integer := 0;
238
                        timeout_g : integer := 5;
239
                        tx_len_width_g : integer := 16
240
 
241
                );
242
                port (
243
 
244
                        -- Interface: clk_ip
245
                        clk_ip : in std_logic;
246
 
247
                        -- Interface: clk_net
248
                        clk_net : in std_logic;
249
 
250
                        -- Interface: p0
251
                        port0_rx_re_in : in std_logic;
252
                        port0_tx_av_in : in std_logic;
253
                        port0_tx_data_in : in std_logic_vector(31 downto 0);
254
                        port0_tx_txlen_in : in std_logic_vector(15 downto 0);
255
                        port0_tx_we_in : in std_logic;
256
                        port0_rx_av_out : out std_logic;
257
                        port0_rx_data_out : out std_logic_vector(31 downto 0);
258
                        port0_rx_empty_out : out std_logic;
259
                        port0_tx_full_out : out std_logic;
260
 
261
                        -- Interface: p1
262
                        port1_rx_re_in : in std_logic;
263
                        port1_tx_av_in : in std_logic;
264
                        port1_tx_data_in : in std_logic_vector(31 downto 0);
265
                        port1_tx_txlen_in : in std_logic_vector(15 downto 0);
266
                        port1_tx_we_in : in std_logic;
267
                        port1_rx_av_out : out std_logic;
268
                        port1_rx_data_out : out std_logic_vector(31 downto 0);
269
                        port1_rx_empty_out : out std_logic;
270
                        port1_tx_full_out : out std_logic;
271
 
272
                        -- Interface: p10
273
                        port10_rx_re_in : in std_logic;
274
                        port10_tx_av_in : in std_logic;
275
                        port10_tx_data_in : in std_logic_vector(31 downto 0);
276
                        port10_tx_txlen_in : in std_logic_vector(15 downto 0);
277
                        port10_tx_we_in : in std_logic;
278
                        port10_rx_av_out : out std_logic;
279
                        port10_rx_data_out : out std_logic_vector(31 downto 0);
280
                        port10_rx_empty_out : out std_logic;
281
                        port10_tx_full_out : out std_logic;
282
 
283
                        -- Interface: p11
284
                        port11_rx_re_in : in std_logic;
285
                        port11_tx_av_in : in std_logic;
286
                        port11_tx_data_in : in std_logic_vector(31 downto 0);
287
                        port11_tx_txlen_in : in std_logic_vector(15 downto 0);
288
                        port11_tx_we_in : in std_logic;
289
                        port11_rx_av_out : out std_logic;
290
                        port11_rx_data_out : out std_logic_vector(31 downto 0);
291
                        port11_rx_empty_out : out std_logic;
292
                        port11_tx_full_out : out std_logic;
293
 
294
                        -- Interface: p12
295
                        port12_rx_re_in : in std_logic;
296
                        port12_tx_av_in : in std_logic;
297
                        port12_tx_data_in : in std_logic_vector(31 downto 0);
298
                        port12_tx_txlen_in : in std_logic_vector(15 downto 0);
299
                        port12_tx_we_in : in std_logic;
300
                        port12_rx_av_out : out std_logic;
301
                        port12_rx_data_out : out std_logic_vector(31 downto 0);
302
                        port12_rx_empty_out : out std_logic;
303
                        port12_tx_full_out : out std_logic;
304
 
305
                        -- Interface: p13
306
                        port13_rx_re_in : in std_logic;
307
                        port13_tx_av_in : in std_logic;
308
                        port13_tx_data_in : in std_logic_vector(31 downto 0);
309
                        port13_tx_txlen_in : in std_logic_vector(15 downto 0);
310
                        port13_tx_we_in : in std_logic;
311
                        port13_rx_av_out : out std_logic;
312
                        port13_rx_data_out : out std_logic_vector(31 downto 0);
313
                        port13_rx_empty_out : out std_logic;
314
                        port13_tx_full_out : out std_logic;
315
 
316
                        -- Interface: p14
317
                        port14_rx_re_in : in std_logic;
318
                        port14_tx_av_in : in std_logic;
319
                        port14_tx_data_in : in std_logic_vector(31 downto 0);
320
                        port14_tx_txlen_in : in std_logic_vector(15 downto 0);
321
                        port14_tx_we_in : in std_logic;
322
                        port14_rx_av_out : out std_logic;
323
                        port14_rx_data_out : out std_logic_vector(31 downto 0);
324
                        port14_rx_empty_out : out std_logic;
325
                        port14_tx_full_out : out std_logic;
326
 
327
                        -- Interface: p15
328
                        port15_rx_re_in : in std_logic;
329
                        port15_tx_av_in : in std_logic;
330
                        port15_tx_data_in : in std_logic_vector(31 downto 0);
331
                        port15_tx_txlen_in : in std_logic_vector(15 downto 0);
332
                        port15_tx_we_in : in std_logic;
333
                        port15_rx_av_out : out std_logic;
334
                        port15_rx_data_out : out std_logic_vector(31 downto 0);
335
                        port15_rx_empty_out : out std_logic;
336
                        port15_tx_full_out : out std_logic;
337
 
338
                        -- Interface: p2
339
                        port2_rx_re_in : in std_logic;
340
                        port2_tx_av_in : in std_logic;
341
                        port2_tx_data_in : in std_logic_vector(31 downto 0);
342
                        port2_tx_txlen_in : in std_logic_vector(15 downto 0);
343
                        port2_tx_we_in : in std_logic;
344
                        port2_rx_av_out : out std_logic;
345
                        port2_rx_data_out : out std_logic_vector(31 downto 0);
346
                        port2_rx_empty_out : out std_logic;
347
                        port2_tx_full_out : out std_logic;
348
 
349
                        -- Interface: p3
350
                        port3_rx_re_in : in std_logic;
351
                        port3_tx_av_in : in std_logic;
352
                        port3_tx_data_in : in std_logic_vector(31 downto 0);
353
                        port3_tx_txlen_in : in std_logic_vector(15 downto 0);
354
                        port3_tx_we_in : in std_logic;
355
                        port3_rx_av_out : out std_logic;
356
                        port3_rx_data_out : out std_logic_vector(31 downto 0);
357
                        port3_rx_empty_out : out std_logic;
358
                        port3_tx_full_out : out std_logic;
359
 
360
                        -- Interface: p4
361
                        port4_rx_re_in : in std_logic;
362
                        port4_tx_av_in : in std_logic;
363
                        port4_tx_data_in : in std_logic_vector(31 downto 0);
364
                        port4_tx_txlen_in : in std_logic_vector(15 downto 0);
365
                        port4_tx_we_in : in std_logic;
366
                        port4_rx_av_out : out std_logic;
367
                        port4_rx_data_out : out std_logic_vector(31 downto 0);
368
                        port4_rx_empty_out : out std_logic;
369
                        port4_tx_full_out : out std_logic;
370
 
371
                        -- Interface: p5
372
                        port5_rx_re_in : in std_logic;
373
                        port5_tx_av_in : in std_logic;
374
                        port5_tx_data_in : in std_logic_vector(31 downto 0);
375
                        port5_tx_txlen_in : in std_logic_vector(15 downto 0);
376
                        port5_tx_we_in : in std_logic;
377
                        port5_rx_av_out : out std_logic;
378
                        port5_rx_data_out : out std_logic_vector(31 downto 0);
379
                        port5_rx_empty_out : out std_logic;
380
                        port5_tx_full_out : out std_logic;
381
 
382
                        -- Interface: p6
383
                        port6_rx_re_in : in std_logic;
384
                        port6_tx_av_in : in std_logic;
385
                        port6_tx_data_in : in std_logic_vector(31 downto 0);
386
                        port6_tx_txlen_in : in std_logic_vector(15 downto 0);
387
                        port6_tx_we_in : in std_logic;
388
                        port6_rx_av_out : out std_logic;
389
                        port6_rx_data_out : out std_logic_vector(31 downto 0);
390
                        port6_rx_empty_out : out std_logic;
391
                        port6_tx_full_out : out std_logic;
392
 
393
                        -- Interface: p7
394
                        port7_rx_re_in : in std_logic;
395
                        port7_tx_av_in : in std_logic;
396
                        port7_tx_data_in : in std_logic_vector(31 downto 0);
397
                        port7_tx_txlen_in : in std_logic_vector(15 downto 0);
398
                        port7_tx_we_in : in std_logic;
399
                        port7_rx_av_out : out std_logic;
400
                        port7_rx_data_out : out std_logic_vector(31 downto 0);
401
                        port7_rx_empty_out : out std_logic;
402
                        port7_tx_full_out : out std_logic;
403
 
404
                        -- Interface: p8
405
                        port8_rx_re_in : in std_logic;
406
                        port8_tx_av_in : in std_logic;
407
                        port8_tx_data_in : in std_logic_vector(31 downto 0);
408
                        port8_tx_txlen_in : in std_logic_vector(15 downto 0);
409
                        port8_tx_we_in : in std_logic;
410
                        port8_rx_av_out : out std_logic;
411
                        port8_rx_data_out : out std_logic_vector(31 downto 0);
412
                        port8_rx_empty_out : out std_logic;
413
                        port8_tx_full_out : out std_logic;
414
 
415
                        -- Interface: p9
416
                        port9_rx_re_in : in std_logic;
417
                        port9_tx_av_in : in std_logic;
418
                        port9_tx_data_in : in std_logic_vector(31 downto 0);
419
                        port9_tx_txlen_in : in std_logic_vector(15 downto 0);
420
                        port9_tx_we_in : in std_logic;
421
                        port9_rx_av_out : out std_logic;
422
                        port9_rx_data_out : out std_logic_vector(31 downto 0);
423
                        port9_rx_empty_out : out std_logic;
424
                        port9_tx_full_out : out std_logic;
425
 
426
                        -- Interface: rst_n
427
                        rst_n : in std_logic
428
 
429
                );
430
        end component;
431
 
432
        -- Inverts the led output every time a message is received.
433
        component led_packet_codec
434
                generic (
435
                        data_width_g : integer := 32;
436
                        tx_len_width_g : integer := 16
437
 
438
                );
439
                port (
440
 
441
                        -- Interface: clk
442
                        clk : in std_logic;
443
 
444
                        -- Interface: led
445
                        led_out : out std_logic;
446
 
447
                        -- Interface: pkt_codec
448
                        rx_av_in : in std_logic;
449
                        rx_data_in : in std_logic_vector(31 downto 0);
450
                        rx_empty_in : in std_logic;
451
                        tx_full_in : in std_logic;
452
                        rx_re_out : out std_logic;
453
                        tx_av_out : out std_logic;
454
                        tx_data_out : out std_logic_vector(31 downto 0);
455
                        tx_txlen_out : out std_logic_vector(15 downto 0);
456
                        tx_we_out : out std_logic;
457
 
458
                        -- Interface: rst_n
459
                        rst_n : in std_logic
460
 
461
                );
462
        end component;
463
 
464
        -- Converts a toggle of a switch into constant one-word transfer.
465
        component switch_packet_codec
466
                generic (
467
                        data_width_g : integer := 32;
468
                        my_id_g : integer := 0; -- To which terminal the message is sent
469
                        tx_len_width_g : integer := 16
470
 
471
                );
472
                port (
473
 
474
                        -- Interface: clk
475
                        clk : in std_logic;
476
 
477
                        -- Interface: pkt_codec
478
                        rx_av_in : in std_logic;
479
                        rx_data_in : in std_logic_vector(31 downto 0);
480
                        rx_empty_in : in std_logic;
481
                        tx_full_in : in std_logic;
482
                        rx_re_out : out std_logic;
483
                        tx_av_out : out std_logic;
484
                        tx_data_out : out std_logic_vector(31 downto 0);
485
                        tx_txlen_out : out std_logic_vector(15 downto 0);
486
                        tx_we_out : out std_logic;
487
 
488
                        -- Interface: rst_n
489
                        rst_n : in std_logic;
490
 
491
                        -- Interface: switch
492
                        switch_in : in std_logic
493
 
494
                );
495
        end component;
496
 
497
        -- You can write vhdl code after this tag and it is saved through the generator.
498
        -- ##KACTUS2_BLACK_BOX_DECLARATIONS_BEGIN##
499
        -- ##KACTUS2_BLACK_BOX_DECLARATIONS_END##
500
        -- Stop writing your code after this tag.
501
 
502
 
503
begin
504
 
505
        -- You can write vhdl code after this tag and it is saved through the generator.
506
        -- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_BEGIN##
507
        -- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_END##
508
        -- Stop writing your code after this tag.
509
 
510
        led_packet_codec_0 : led_packet_codec
511
                port map (
512
                        clk => clk,
513
                        led_out => led_0_out,
514
                        rst_n => rst_n,
515
                        rx_av_in => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP,
516
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
517
                        rx_empty_in => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
518
                        rx_re_out => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP,
519
                        tx_av_out => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP,
520
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
521
                        tx_full_in => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP,
522
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
523
                        tx_we_out => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP
524
                );
525
 
526
        led_packet_codec_1 : led_packet_codec
527
                port map (
528
                        clk => clk,
529
                        led_out => led_1_out,
530
                        rst_n => rst_n,
531
                        rx_av_in => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP,
532
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
533
                        rx_empty_in => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
534
                        rx_re_out => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP,
535
                        tx_av_out => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP,
536
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
537
                        tx_full_in => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP,
538
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
539
                        tx_we_out => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP
540
                );
541
 
542
        led_packet_codec_2 : led_packet_codec
543
                port map (
544
                        clk => clk,
545
                        led_out => led_2_out,
546
                        rst_n => rst_n,
547
                        rx_av_in => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP,
548
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
549
                        rx_empty_in => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
550
                        rx_re_out => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP,
551
                        tx_av_out => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP,
552
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
553
                        tx_full_in => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP,
554
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
555
                        tx_we_out => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP
556
                );
557
 
558
        led_packet_codec_3 : led_packet_codec
559
                port map (
560
                        clk => clk,
561
                        led_out => led_3_out,
562
                        rst_n => rst_n,
563
                        rx_av_in => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP,
564
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
565
                        rx_empty_in => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
566
                        rx_re_out => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP,
567
                        tx_av_out => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP,
568
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
569
                        tx_full_in => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP,
570
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
571
                        tx_we_out => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP
572
                );
573
 
574
        led_packet_codec_4 : led_packet_codec
575
                port map (
576
                        clk => clk,
577
                        led_out => led_4_out,
578
                        rst_n => rst_n,
579
                        rx_av_in => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP,
580
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
581
                        rx_empty_in => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
582
                        rx_re_out => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP,
583
                        tx_av_out => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP,
584
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
585
                        tx_full_in => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP,
586
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
587
                        tx_we_out => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP
588
                );
589
 
590
        led_packet_codec_5 : led_packet_codec
591
                port map (
592
                        clk => clk,
593
                        led_out => led_5_out,
594
                        rst_n => rst_n,
595
                        rx_av_in => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP,
596
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
597
                        rx_empty_in => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
598
                        rx_re_out => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP,
599
                        tx_av_out => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP,
600
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
601
                        tx_full_in => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP,
602
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
603
                        tx_we_out => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP
604
                );
605
 
606
        led_packet_codec_6 : led_packet_codec
607
                port map (
608
                        clk => clk,
609
                        led_out => led_6_out,
610
                        rst_n => rst_n,
611
                        rx_av_in => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP,
612
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
613
                        rx_empty_in => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
614
                        rx_re_out => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP,
615
                        tx_av_out => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP,
616
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
617
                        tx_full_in => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP,
618
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
619
                        tx_we_out => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP
620
                );
621
 
622
        led_packet_codec_7 : led_packet_codec
623
                port map (
624
                        clk => clk,
625
                        led_out => led_7_out,
626
                        rst_n => rst_n,
627
                        rx_av_in => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_AV_TO_IP,
628
                        rx_data_in(31 downto 0) => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_DATA_TO_IP(31 downto 0),
629
                        rx_empty_in => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_EMPTY_TO_IP,
630
                        rx_re_out => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_RE_FROM_IP,
631
                        tx_av_out => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_AV_FROM_IP,
632
                        tx_data_out(31 downto 0) => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_DATA_FROM_IP(31 downto 0),
633
                        tx_full_in => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_FULL_TO_IP,
634
                        tx_txlen_out(15 downto 0) => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
635
                        tx_we_out => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_WE_FROM_IP
636
                );
637
 
638
        ring_network_max16ag_1 : ring_network_max16ag
639
                port map (
640
                        clk_ip => clk,
641
                        clk_net => clk,
642
                        port0_rx_av_out => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_AV_TO_IP,
643
                        port0_rx_data_out(31 downto 0) => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_DATA_TO_IP(31 downto 0),
644
                        port0_rx_empty_out => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_EMPTY_TO_IP,
645
                        port0_rx_re_in => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecRX_RE_FROM_IP,
646
                        port0_tx_av_in => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_AV_FROM_IP,
647
                        port0_tx_data_in(31 downto 0) => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_DATA_FROM_IP(31 downto 0),
648
                        port0_tx_full_out => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_FULL_TO_IP,
649
                        port0_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
650
                        port0_tx_we_in => ring_network_max16ag_1_p0_to_led_packet_codec_1_pkt_codecTX_WE_FROM_IP,
651
                        port10_rx_av_out => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_AV_TO_IP,
652
                        port10_rx_data_out(31 downto 0) => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_DATA_TO_IP(31 downto 0),
653
                        port10_rx_empty_out => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_EMPTY_TO_IP,
654
                        port10_rx_re_in => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_RE_FROM_IP,
655
                        port10_tx_av_in => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_AV_FROM_IP,
656
                        port10_tx_data_in(31 downto 0) => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_DATA_FROM_IP(31 downto 0),
657
                        port10_tx_full_out => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_FULL_TO_IP,
658
                        port10_tx_txlen_in(15 downto 0) => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_TXLEN_FROM_IP(15 downto 0),
659
                        port10_tx_we_in => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_WE_FROM_IP,
660
                        port11_rx_av_out => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_AV_TO_IP,
661
                        port11_rx_data_out(31 downto 0) => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_DATA_TO_IP(31 downto 0),
662
                        port11_rx_empty_out => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_EMPTY_TO_IP,
663
                        port11_rx_re_in => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_RE_FROM_IP,
664
                        port11_tx_av_in => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_AV_FROM_IP,
665
                        port11_tx_data_in(31 downto 0) => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_DATA_FROM_IP(31 downto 0),
666
                        port11_tx_full_out => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_FULL_TO_IP,
667
                        port11_tx_txlen_in(15 downto 0) => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_TXLEN_FROM_IP(15 downto 0),
668
                        port11_tx_we_in => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_WE_FROM_IP,
669
                        port12_rx_av_out => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_AV_TO_IP,
670
                        port12_rx_data_out(31 downto 0) => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_DATA_TO_IP(31 downto 0),
671
                        port12_rx_empty_out => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_EMPTY_TO_IP,
672
                        port12_rx_re_in => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_RE_FROM_IP,
673
                        port12_tx_av_in => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_AV_FROM_IP,
674
                        port12_tx_data_in(31 downto 0) => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_DATA_FROM_IP(31 downto 0),
675
                        port12_tx_full_out => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_FULL_TO_IP,
676
                        port12_tx_txlen_in(15 downto 0) => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_TXLEN_FROM_IP(15 downto 0),
677
                        port12_tx_we_in => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_WE_FROM_IP,
678
                        port13_rx_av_out => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_AV_TO_IP,
679
                        port13_rx_data_out(31 downto 0) => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_DATA_TO_IP(31 downto 0),
680
                        port13_rx_empty_out => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_EMPTY_TO_IP,
681
                        port13_rx_re_in => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_RE_FROM_IP,
682
                        port13_tx_av_in => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_AV_FROM_IP,
683
                        port13_tx_data_in(31 downto 0) => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_DATA_FROM_IP(31 downto 0),
684
                        port13_tx_full_out => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_FULL_TO_IP,
685
                        port13_tx_txlen_in(15 downto 0) => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_TXLEN_FROM_IP(15 downto 0),
686
                        port13_tx_we_in => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_WE_FROM_IP,
687
                        port14_rx_av_out => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_AV_TO_IP,
688
                        port14_rx_data_out(31 downto 0) => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_DATA_TO_IP(31 downto 0),
689
                        port14_rx_empty_out => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_EMPTY_TO_IP,
690
                        port14_rx_re_in => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_RE_FROM_IP,
691
                        port14_tx_av_in => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_AV_FROM_IP,
692
                        port14_tx_data_in(31 downto 0) => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_DATA_FROM_IP(31 downto 0),
693
                        port14_tx_full_out => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_FULL_TO_IP,
694
                        port14_tx_txlen_in(15 downto 0) => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_TXLEN_FROM_IP(15 downto 0),
695
                        port14_tx_we_in => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_WE_FROM_IP,
696
                        port15_rx_av_out => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_AV_TO_IP,
697
                        port15_rx_data_out(31 downto 0) => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_DATA_TO_IP(31 downto 0),
698
                        port15_rx_empty_out => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_EMPTY_TO_IP,
699
                        port15_rx_re_in => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_RE_FROM_IP,
700
                        port15_tx_av_in => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_AV_FROM_IP,
701
                        port15_tx_data_in(31 downto 0) => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_DATA_FROM_IP(31 downto 0),
702
                        port15_tx_full_out => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_FULL_TO_IP,
703
                        port15_tx_txlen_in(15 downto 0) => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_TXLEN_FROM_IP(15 downto 0),
704
                        port15_tx_we_in => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_WE_FROM_IP,
705
                        port1_rx_av_out => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_AV_TO_IP,
706
                        port1_rx_data_out(31 downto 0) => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_DATA_TO_IP(31 downto 0),
707
                        port1_rx_empty_out => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_EMPTY_TO_IP,
708
                        port1_rx_re_in => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecRX_RE_FROM_IP,
709
                        port1_tx_av_in => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_AV_FROM_IP,
710
                        port1_tx_data_in(31 downto 0) => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_DATA_FROM_IP(31 downto 0),
711
                        port1_tx_full_out => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_FULL_TO_IP,
712
                        port1_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
713
                        port1_tx_we_in => ring_network_max16ag_1_p1_to_led_packet_codec_2_pkt_codecTX_WE_FROM_IP,
714
                        port2_rx_av_out => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_AV_TO_IP,
715
                        port2_rx_data_out(31 downto 0) => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_DATA_TO_IP(31 downto 0),
716
                        port2_rx_empty_out => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_EMPTY_TO_IP,
717
                        port2_rx_re_in => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecRX_RE_FROM_IP,
718
                        port2_tx_av_in => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_AV_FROM_IP,
719
                        port2_tx_data_in(31 downto 0) => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_DATA_FROM_IP(31 downto 0),
720
                        port2_tx_full_out => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_FULL_TO_IP,
721
                        port2_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
722
                        port2_tx_we_in => ring_network_max16ag_1_p2_to_led_packet_codec_3_pkt_codecTX_WE_FROM_IP,
723
                        port3_rx_av_out => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_AV_TO_IP,
724
                        port3_rx_data_out(31 downto 0) => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_DATA_TO_IP(31 downto 0),
725
                        port3_rx_empty_out => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_EMPTY_TO_IP,
726
                        port3_rx_re_in => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecRX_RE_FROM_IP,
727
                        port3_tx_av_in => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_AV_FROM_IP,
728
                        port3_tx_data_in(31 downto 0) => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_DATA_FROM_IP(31 downto 0),
729
                        port3_tx_full_out => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_FULL_TO_IP,
730
                        port3_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
731
                        port3_tx_we_in => ring_network_max16ag_1_p3_to_led_packet_codec_4_pkt_codecTX_WE_FROM_IP,
732
                        port4_rx_av_out => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_AV_TO_IP,
733
                        port4_rx_data_out(31 downto 0) => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_DATA_TO_IP(31 downto 0),
734
                        port4_rx_empty_out => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_EMPTY_TO_IP,
735
                        port4_rx_re_in => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecRX_RE_FROM_IP,
736
                        port4_tx_av_in => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_AV_FROM_IP,
737
                        port4_tx_data_in(31 downto 0) => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_DATA_FROM_IP(31 downto 0),
738
                        port4_tx_full_out => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_FULL_TO_IP,
739
                        port4_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
740
                        port4_tx_we_in => ring_network_max16ag_1_p4_to_led_packet_codec_5_pkt_codecTX_WE_FROM_IP,
741
                        port5_rx_av_out => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_AV_TO_IP,
742
                        port5_rx_data_out(31 downto 0) => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_DATA_TO_IP(31 downto 0),
743
                        port5_rx_empty_out => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_EMPTY_TO_IP,
744
                        port5_rx_re_in => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecRX_RE_FROM_IP,
745
                        port5_tx_av_in => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_AV_FROM_IP,
746
                        port5_tx_data_in(31 downto 0) => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_DATA_FROM_IP(31 downto 0),
747
                        port5_tx_full_out => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_FULL_TO_IP,
748
                        port5_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
749
                        port5_tx_we_in => ring_network_max16ag_1_p5_to_led_packet_codec_6_pkt_codecTX_WE_FROM_IP,
750
                        port6_rx_av_out => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_AV_TO_IP,
751
                        port6_rx_data_out(31 downto 0) => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_DATA_TO_IP(31 downto 0),
752
                        port6_rx_empty_out => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_EMPTY_TO_IP,
753
                        port6_rx_re_in => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecRX_RE_FROM_IP,
754
                        port6_tx_av_in => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_AV_FROM_IP,
755
                        port6_tx_data_in(31 downto 0) => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_DATA_FROM_IP(31 downto 0),
756
                        port6_tx_full_out => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_FULL_TO_IP,
757
                        port6_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
758
                        port6_tx_we_in => ring_network_max16ag_1_p6_to_led_packet_codec_7_pkt_codecTX_WE_FROM_IP,
759
                        port7_rx_av_out => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_AV_TO_IP,
760
                        port7_rx_data_out(31 downto 0) => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_DATA_TO_IP(31 downto 0),
761
                        port7_rx_empty_out => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_EMPTY_TO_IP,
762
                        port7_rx_re_in => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecRX_RE_FROM_IP,
763
                        port7_tx_av_in => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_AV_FROM_IP,
764
                        port7_tx_data_in(31 downto 0) => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_DATA_FROM_IP(31 downto 0),
765
                        port7_tx_full_out => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_FULL_TO_IP,
766
                        port7_tx_txlen_in(15 downto 0) => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_TXLEN_FROM_IP(15 downto 0),
767
                        port7_tx_we_in => ring_network_max16ag_1_p7_to_led_packet_codec_8_pkt_codecTX_WE_FROM_IP,
768
                        port8_rx_av_out => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_AV_TO_IP,
769
                        port8_rx_data_out(31 downto 0) => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_DATA_TO_IP(31 downto 0),
770
                        port8_rx_empty_out => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_EMPTY_TO_IP,
771
                        port8_rx_re_in => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_RE_FROM_IP,
772
                        port8_tx_av_in => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_AV_FROM_IP,
773
                        port8_tx_data_in(31 downto 0) => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_DATA_FROM_IP(31 downto 0),
774
                        port8_tx_full_out => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_FULL_TO_IP,
775
                        port8_tx_txlen_in(15 downto 0) => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_TXLEN_FROM_IP(15 downto 0),
776
                        port8_tx_we_in => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_WE_FROM_IP,
777
                        port9_rx_av_out => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_AV_TO_IP,
778
                        port9_rx_data_out(31 downto 0) => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_DATA_TO_IP(31 downto 0),
779
                        port9_rx_empty_out => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_EMPTY_TO_IP,
780
                        port9_rx_re_in => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_RE_FROM_IP,
781
                        port9_tx_av_in => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_AV_FROM_IP,
782
                        port9_tx_data_in(31 downto 0) => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_DATA_FROM_IP(31 downto 0),
783
                        port9_tx_full_out => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_FULL_TO_IP,
784
                        port9_tx_txlen_in(15 downto 0) => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_TXLEN_FROM_IP(15 downto 0),
785
                        port9_tx_we_in => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_WE_FROM_IP,
786
                        rst_n => rst_n
787
                );
788
 
789
        switch_packet_codec_0 : switch_packet_codec
790
                generic map (
791
                        my_id_g => 0
792
                )
793
                port map (
794
                        clk => clk,
795
                        rst_n => rst_n,
796
                        rx_av_in => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_AV_TO_IP,
797
                        rx_data_in(31 downto 0) => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_DATA_TO_IP(31 downto 0),
798
                        rx_empty_in => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_EMPTY_TO_IP,
799
                        rx_re_out => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8RX_RE_FROM_IP,
800
                        switch_in => switch_0_in,
801
                        tx_av_out => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_AV_FROM_IP,
802
                        tx_data_out(31 downto 0) => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_DATA_FROM_IP(31 downto 0),
803
                        tx_full_in => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_FULL_TO_IP,
804
                        tx_txlen_out(15 downto 0) => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_TXLEN_FROM_IP(15 downto 0),
805
                        tx_we_out => switch_packet_codec_1_pkt_codec_to_ring_network_max16ag_1_p8TX_WE_FROM_IP
806
                );
807
 
808
        switch_packet_codec_1 : switch_packet_codec
809
                generic map (
810
                        my_id_g => 1
811
                )
812
                port map (
813
                        clk => clk,
814
                        rst_n => rst_n,
815
                        rx_av_in => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_AV_TO_IP,
816
                        rx_data_in(31 downto 0) => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_DATA_TO_IP(31 downto 0),
817
                        rx_empty_in => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_EMPTY_TO_IP,
818
                        rx_re_out => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9RX_RE_FROM_IP,
819
                        switch_in => switch_1_in,
820
                        tx_av_out => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_AV_FROM_IP,
821
                        tx_data_out(31 downto 0) => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_DATA_FROM_IP(31 downto 0),
822
                        tx_full_in => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_FULL_TO_IP,
823
                        tx_txlen_out(15 downto 0) => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_TXLEN_FROM_IP(15 downto 0),
824
                        tx_we_out => switch_packet_codec_2_pkt_codec_to_ring_network_max16ag_1_p9TX_WE_FROM_IP
825
                );
826
 
827
        switch_packet_codec_2 : switch_packet_codec
828
                generic map (
829
                        my_id_g => 2
830
                )
831
                port map (
832
                        clk => clk,
833
                        rst_n => rst_n,
834
                        rx_av_in => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_AV_TO_IP,
835
                        rx_data_in(31 downto 0) => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_DATA_TO_IP(31 downto 0),
836
                        rx_empty_in => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_EMPTY_TO_IP,
837
                        rx_re_out => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10RX_RE_FROM_IP,
838
                        switch_in => switch_2_in,
839
                        tx_av_out => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_AV_FROM_IP,
840
                        tx_data_out(31 downto 0) => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_DATA_FROM_IP(31 downto 0),
841
                        tx_full_in => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_FULL_TO_IP,
842
                        tx_txlen_out(15 downto 0) => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_TXLEN_FROM_IP(15 downto 0),
843
                        tx_we_out => switch_packet_codec_3_pkt_codec_to_ring_network_max16ag_1_p10TX_WE_FROM_IP
844
                );
845
 
846
        switch_packet_codec_3 : switch_packet_codec
847
                generic map (
848
                        my_id_g => 3
849
                )
850
                port map (
851
                        clk => clk,
852
                        rst_n => rst_n,
853
                        rx_av_in => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_AV_TO_IP,
854
                        rx_data_in(31 downto 0) => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_DATA_TO_IP(31 downto 0),
855
                        rx_empty_in => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_EMPTY_TO_IP,
856
                        rx_re_out => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11RX_RE_FROM_IP,
857
                        switch_in => switch_3_in,
858
                        tx_av_out => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_AV_FROM_IP,
859
                        tx_data_out(31 downto 0) => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_DATA_FROM_IP(31 downto 0),
860
                        tx_full_in => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_FULL_TO_IP,
861
                        tx_txlen_out(15 downto 0) => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_TXLEN_FROM_IP(15 downto 0),
862
                        tx_we_out => switch_packet_codec_4_pkt_codec_to_ring_network_max16ag_1_p11TX_WE_FROM_IP
863
                );
864
 
865
        switch_packet_codec_4 : switch_packet_codec
866
                generic map (
867
                        my_id_g => 4
868
                )
869
                port map (
870
                        clk => clk,
871
                        rst_n => rst_n,
872
                        rx_av_in => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_AV_TO_IP,
873
                        rx_data_in(31 downto 0) => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_DATA_TO_IP(31 downto 0),
874
                        rx_empty_in => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_EMPTY_TO_IP,
875
                        rx_re_out => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12RX_RE_FROM_IP,
876
                        switch_in => switch_4_in,
877
                        tx_av_out => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_AV_FROM_IP,
878
                        tx_data_out(31 downto 0) => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_DATA_FROM_IP(31 downto 0),
879
                        tx_full_in => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_FULL_TO_IP,
880
                        tx_txlen_out(15 downto 0) => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_TXLEN_FROM_IP(15 downto 0),
881
                        tx_we_out => switch_packet_codec_5_pkt_codec_to_ring_network_max16ag_1_p12TX_WE_FROM_IP
882
                );
883
 
884
        switch_packet_codec_5 : switch_packet_codec
885
                generic map (
886
                        my_id_g => 5
887
                )
888
                port map (
889
                        clk => clk,
890
                        rst_n => rst_n,
891
                        rx_av_in => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_AV_TO_IP,
892
                        rx_data_in(31 downto 0) => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_DATA_TO_IP(31 downto 0),
893
                        rx_empty_in => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_EMPTY_TO_IP,
894
                        rx_re_out => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13RX_RE_FROM_IP,
895
                        switch_in => switch_5_in,
896
                        tx_av_out => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_AV_FROM_IP,
897
                        tx_data_out(31 downto 0) => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_DATA_FROM_IP(31 downto 0),
898
                        tx_full_in => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_FULL_TO_IP,
899
                        tx_txlen_out(15 downto 0) => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_TXLEN_FROM_IP(15 downto 0),
900
                        tx_we_out => switch_packet_codec_6_pkt_codec_to_ring_network_max16ag_1_p13TX_WE_FROM_IP
901
                );
902
 
903
        switch_packet_codec_6 : switch_packet_codec
904
                generic map (
905
                        my_id_g => 6
906
                )
907
                port map (
908
                        clk => clk,
909
                        rst_n => rst_n,
910
                        rx_av_in => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_AV_TO_IP,
911
                        rx_data_in(31 downto 0) => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_DATA_TO_IP(31 downto 0),
912
                        rx_empty_in => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_EMPTY_TO_IP,
913
                        rx_re_out => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14RX_RE_FROM_IP,
914
                        switch_in => switch_6_in,
915
                        tx_av_out => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_AV_FROM_IP,
916
                        tx_data_out(31 downto 0) => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_DATA_FROM_IP(31 downto 0),
917
                        tx_full_in => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_FULL_TO_IP,
918
                        tx_txlen_out(15 downto 0) => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_TXLEN_FROM_IP(15 downto 0),
919
                        tx_we_out => switch_packet_codec_7_pkt_codec_to_ring_network_max16ag_1_p14TX_WE_FROM_IP
920
                );
921
 
922
        switch_packet_codec_7 : switch_packet_codec
923
                generic map (
924
                        my_id_g => 7
925
                )
926
                port map (
927
                        clk => clk,
928
                        rst_n => rst_n,
929
                        rx_av_in => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_AV_TO_IP,
930
                        rx_data_in(31 downto 0) => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_DATA_TO_IP(31 downto 0),
931
                        rx_empty_in => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_EMPTY_TO_IP,
932
                        rx_re_out => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15RX_RE_FROM_IP,
933
                        switch_in => switch_7_in,
934
                        tx_av_out => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_AV_FROM_IP,
935
                        tx_data_out(31 downto 0) => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_DATA_FROM_IP(31 downto 0),
936
                        tx_full_in => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_FULL_TO_IP,
937
                        tx_txlen_out(15 downto 0) => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_TXLEN_FROM_IP(15 downto 0),
938
                        tx_we_out => switch_packet_codec_8_pkt_codec_to_ring_network_max16ag_1_p15TX_WE_FROM_IP
939
                );
940
 
941
end kactusHierarchical;
942
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.