OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [upd2hibi_example/] [1.0/] [quartus/] [udp2hibi_example.qsf] - Blame information for rev 148

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2010 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version
21
# Date created = 11:42:00  January 11, 2012
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               udp2hibi_example_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C35F672C6
41
set_global_assignment -name TOP_LEVEL_ENTITY udp2hibi_example_top
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.1 SP2"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:42:00  JANUARY 11, 2012"
44
set_global_assignment -name LAST_QUARTUS_VERSION "11.0 SP1"
45
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
46
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE AREA
47
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49
set_location_assignment PIN_N25 -to SW[0]
50
set_location_assignment PIN_N26 -to SW[1]
51
set_location_assignment PIN_P25 -to SW[2]
52
set_location_assignment PIN_AE14 -to SW[3]
53
set_location_assignment PIN_AF14 -to SW[4]
54
set_location_assignment PIN_AD13 -to SW[5]
55
set_location_assignment PIN_AC13 -to SW[6]
56
set_location_assignment PIN_C13 -to SW[7]
57
set_location_assignment PIN_B13 -to SW[8]
58
set_location_assignment PIN_A13 -to SW[9]
59
set_location_assignment PIN_N1 -to SW[10]
60
set_location_assignment PIN_P1 -to SW[11]
61
set_location_assignment PIN_P2 -to SW[12]
62
set_location_assignment PIN_T7 -to SW[13]
63
set_location_assignment PIN_U3 -to SW[14]
64
set_location_assignment PIN_U4 -to SW[15]
65
set_location_assignment PIN_V1 -to SW[16]
66
set_location_assignment PIN_V2 -to SW[17]
67
set_location_assignment PIN_T6 -to DRAM_ADDR[0]
68
set_location_assignment PIN_V4 -to DRAM_ADDR[1]
69
set_location_assignment PIN_V3 -to DRAM_ADDR[2]
70
set_location_assignment PIN_W2 -to DRAM_ADDR[3]
71
set_location_assignment PIN_W1 -to DRAM_ADDR[4]
72
set_location_assignment PIN_U6 -to DRAM_ADDR[5]
73
set_location_assignment PIN_U7 -to DRAM_ADDR[6]
74
set_location_assignment PIN_U5 -to DRAM_ADDR[7]
75
set_location_assignment PIN_W4 -to DRAM_ADDR[8]
76
set_location_assignment PIN_W3 -to DRAM_ADDR[9]
77
set_location_assignment PIN_Y1 -to DRAM_ADDR[10]
78
set_location_assignment PIN_V5 -to DRAM_ADDR[11]
79
set_location_assignment PIN_AE2 -to DRAM_BA[0]
80
set_location_assignment PIN_AE3 -to DRAM_BA[1]
81
set_location_assignment PIN_AB3 -to DRAM_CAS_N
82
set_location_assignment PIN_AA6 -to DRAM_CKE
83
set_location_assignment PIN_AA7 -to DRAM_CLK
84
set_location_assignment PIN_AC3 -to DRAM_CS_N
85
set_location_assignment PIN_V6 -to DRAM_DQ[0]
86
set_location_assignment PIN_AA2 -to DRAM_DQ[1]
87
set_location_assignment PIN_AA1 -to DRAM_DQ[2]
88
set_location_assignment PIN_Y3 -to DRAM_DQ[3]
89
set_location_assignment PIN_Y4 -to DRAM_DQ[4]
90
set_location_assignment PIN_R8 -to DRAM_DQ[5]
91
set_location_assignment PIN_T8 -to DRAM_DQ[6]
92
set_location_assignment PIN_V7 -to DRAM_DQ[7]
93
set_location_assignment PIN_W6 -to DRAM_DQ[8]
94
set_location_assignment PIN_AB2 -to DRAM_DQ[9]
95
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
96
set_location_assignment PIN_AA4 -to DRAM_DQ[11]
97
set_location_assignment PIN_AA3 -to DRAM_DQ[12]
98
set_location_assignment PIN_AC2 -to DRAM_DQ[13]
99
set_location_assignment PIN_AC1 -to DRAM_DQ[14]
100
set_location_assignment PIN_AA5 -to DRAM_DQ[15]
101
set_location_assignment PIN_AD2 -to DRAM_DQM[0]
102
set_location_assignment PIN_Y5 -to DRAM_DQM[1]
103
set_location_assignment PIN_AB4 -to DRAM_RAS_N
104
set_location_assignment PIN_AD3 -to DRAM_WE_N
105
set_location_assignment PIN_AC18 -to FL_ADDR[0]
106
set_location_assignment PIN_AB18 -to FL_ADDR[1]
107
set_location_assignment PIN_AE19 -to FL_ADDR[2]
108
set_location_assignment PIN_AF19 -to FL_ADDR[3]
109
set_location_assignment PIN_AE18 -to FL_ADDR[4]
110
set_location_assignment PIN_AF18 -to FL_ADDR[5]
111
set_location_assignment PIN_Y16 -to FL_ADDR[6]
112
set_location_assignment PIN_AA16 -to FL_ADDR[7]
113
set_location_assignment PIN_AD17 -to FL_ADDR[8]
114
set_location_assignment PIN_AC17 -to FL_ADDR[9]
115
set_location_assignment PIN_AE17 -to FL_ADDR[10]
116
set_location_assignment PIN_AF17 -to FL_ADDR[11]
117
set_location_assignment PIN_W16 -to FL_ADDR[12]
118
set_location_assignment PIN_W15 -to FL_ADDR[13]
119
set_location_assignment PIN_AC16 -to FL_ADDR[14]
120
set_location_assignment PIN_AD16 -to FL_ADDR[15]
121
set_location_assignment PIN_AE16 -to FL_ADDR[16]
122
set_location_assignment PIN_AC15 -to FL_ADDR[17]
123
set_location_assignment PIN_AB15 -to FL_ADDR[18]
124
set_location_assignment PIN_AA15 -to FL_ADDR[19]
125
set_location_assignment PIN_Y15 -to FL_ADDR[20]
126
set_location_assignment PIN_Y14 -to FL_ADDR[21]
127
set_location_assignment PIN_V17 -to FL_CE_N
128
set_location_assignment PIN_W17 -to FL_OE_N
129
set_location_assignment PIN_AD19 -to FL_DQ[0]
130
set_location_assignment PIN_AC19 -to FL_DQ[1]
131
set_location_assignment PIN_AF20 -to FL_DQ[2]
132
set_location_assignment PIN_AE20 -to FL_DQ[3]
133
set_location_assignment PIN_AB20 -to FL_DQ[4]
134
set_location_assignment PIN_AC20 -to FL_DQ[5]
135
set_location_assignment PIN_AF21 -to FL_DQ[6]
136
set_location_assignment PIN_AE21 -to FL_DQ[7]
137
set_location_assignment PIN_AA18 -to FL_RST_N
138
set_location_assignment PIN_AA17 -to FL_WE_N
139
set_location_assignment PIN_AF10 -to HEX0[0]
140
set_location_assignment PIN_AB12 -to HEX0[1]
141
set_location_assignment PIN_AC12 -to HEX0[2]
142
set_location_assignment PIN_AD11 -to HEX0[3]
143
set_location_assignment PIN_AE11 -to HEX0[4]
144
set_location_assignment PIN_V14 -to HEX0[5]
145
set_location_assignment PIN_V13 -to HEX0[6]
146
set_location_assignment PIN_V20 -to HEX1[0]
147
set_location_assignment PIN_V21 -to HEX1[1]
148
set_location_assignment PIN_W21 -to HEX1[2]
149
set_location_assignment PIN_Y22 -to HEX1[3]
150
set_location_assignment PIN_AA24 -to HEX1[4]
151
set_location_assignment PIN_AA23 -to HEX1[5]
152
set_location_assignment PIN_AB24 -to HEX1[6]
153
set_location_assignment PIN_AB23 -to HEX2[0]
154
set_location_assignment PIN_V22 -to HEX2[1]
155
set_location_assignment PIN_AC25 -to HEX2[2]
156
set_location_assignment PIN_AC26 -to HEX2[3]
157
set_location_assignment PIN_AB26 -to HEX2[4]
158
set_location_assignment PIN_AB25 -to HEX2[5]
159
set_location_assignment PIN_Y24 -to HEX2[6]
160
set_location_assignment PIN_Y23 -to HEX3[0]
161
set_location_assignment PIN_AA25 -to HEX3[1]
162
set_location_assignment PIN_AA26 -to HEX3[2]
163
set_location_assignment PIN_Y26 -to HEX3[3]
164
set_location_assignment PIN_Y25 -to HEX3[4]
165
set_location_assignment PIN_U22 -to HEX3[5]
166
set_location_assignment PIN_W24 -to HEX3[6]
167
set_location_assignment PIN_U9 -to HEX4[0]
168
set_location_assignment PIN_U1 -to HEX4[1]
169
set_location_assignment PIN_U2 -to HEX4[2]
170
set_location_assignment PIN_T4 -to HEX4[3]
171
set_location_assignment PIN_R7 -to HEX4[4]
172
set_location_assignment PIN_R6 -to HEX4[5]
173
set_location_assignment PIN_T3 -to HEX4[6]
174
set_location_assignment PIN_T2 -to HEX5[0]
175
set_location_assignment PIN_P6 -to HEX5[1]
176
set_location_assignment PIN_P7 -to HEX5[2]
177
set_location_assignment PIN_T9 -to HEX5[3]
178
set_location_assignment PIN_R5 -to HEX5[4]
179
set_location_assignment PIN_R4 -to HEX5[5]
180
set_location_assignment PIN_R3 -to HEX5[6]
181
set_location_assignment PIN_R2 -to HEX6[0]
182
set_location_assignment PIN_P4 -to HEX6[1]
183
set_location_assignment PIN_P3 -to HEX6[2]
184
set_location_assignment PIN_M2 -to HEX6[3]
185
set_location_assignment PIN_M3 -to HEX6[4]
186
set_location_assignment PIN_M5 -to HEX6[5]
187
set_location_assignment PIN_M4 -to HEX6[6]
188
set_location_assignment PIN_L3 -to HEX7[0]
189
set_location_assignment PIN_L2 -to HEX7[1]
190
set_location_assignment PIN_L9 -to HEX7[2]
191
set_location_assignment PIN_L6 -to HEX7[3]
192
set_location_assignment PIN_L7 -to HEX7[4]
193
set_location_assignment PIN_P9 -to HEX7[5]
194
set_location_assignment PIN_N9 -to HEX7[6]
195
set_location_assignment PIN_G26 -to KEY[0]
196
set_location_assignment PIN_N23 -to KEY[1]
197
set_location_assignment PIN_P23 -to KEY[2]
198
set_location_assignment PIN_W26 -to KEY[3]
199
set_location_assignment PIN_AE23 -to LEDR[0]
200
set_location_assignment PIN_AF23 -to LEDR[1]
201
set_location_assignment PIN_AB21 -to LEDR[2]
202
set_location_assignment PIN_AC22 -to LEDR[3]
203
set_location_assignment PIN_AD22 -to LEDR[4]
204
set_location_assignment PIN_AD23 -to LEDR[5]
205
set_location_assignment PIN_AD21 -to LEDR[6]
206
set_location_assignment PIN_AC21 -to LEDR[7]
207
set_location_assignment PIN_AA14 -to LEDR[8]
208
set_location_assignment PIN_Y13 -to LEDR[9]
209
set_location_assignment PIN_AA13 -to LEDR[10]
210
set_location_assignment PIN_AC14 -to LEDR[11]
211
set_location_assignment PIN_AD15 -to LEDR[12]
212
set_location_assignment PIN_AE15 -to LEDR[13]
213
set_location_assignment PIN_AF13 -to LEDR[14]
214
set_location_assignment PIN_AE13 -to LEDR[15]
215
set_location_assignment PIN_AE12 -to LEDR[16]
216
set_location_assignment PIN_AD12 -to LEDR[17]
217
set_location_assignment PIN_AE22 -to LEDG[0]
218
set_location_assignment PIN_AF22 -to LEDG[1]
219
set_location_assignment PIN_W19 -to LEDG[2]
220
set_location_assignment PIN_V18 -to LEDG[3]
221
set_location_assignment PIN_U18 -to LEDG[4]
222
set_location_assignment PIN_U17 -to LEDG[5]
223
set_location_assignment PIN_AA20 -to LEDG[6]
224
set_location_assignment PIN_Y18 -to LEDG[7]
225
set_location_assignment PIN_Y12 -to LEDG[8]
226
set_location_assignment PIN_D13 -to CLOCK_27
227
set_location_assignment PIN_N2 -to CLOCK_50
228
set_location_assignment PIN_P26 -to EXT_CLOCK
229
set_location_assignment PIN_D26 -to PS2_CLK
230
set_location_assignment PIN_C24 -to PS2_DAT
231
set_location_assignment PIN_C25 -to UART_RXD
232
set_location_assignment PIN_B25 -to UART_TXD
233
set_location_assignment PIN_K4 -to LCD_RW
234
set_location_assignment PIN_K3 -to LCD_EN
235
set_location_assignment PIN_K1 -to LCD_RS
236
set_location_assignment PIN_J1 -to LCD_DATA[0]
237
set_location_assignment PIN_J2 -to LCD_DATA[1]
238
set_location_assignment PIN_H1 -to LCD_DATA[2]
239
set_location_assignment PIN_H2 -to LCD_DATA[3]
240
set_location_assignment PIN_J4 -to LCD_DATA[4]
241
set_location_assignment PIN_J3 -to LCD_DATA[5]
242
set_location_assignment PIN_H4 -to LCD_DATA[6]
243
set_location_assignment PIN_H3 -to LCD_DATA[7]
244
set_location_assignment PIN_L4 -to LCD_ON
245
set_location_assignment PIN_K2 -to LCD_BLON
246
set_location_assignment PIN_AE4 -to SRAM_ADDR[0]
247
set_location_assignment PIN_AF4 -to SRAM_ADDR[1]
248
set_location_assignment PIN_AC5 -to SRAM_ADDR[2]
249
set_location_assignment PIN_AC6 -to SRAM_ADDR[3]
250
set_location_assignment PIN_AD4 -to SRAM_ADDR[4]
251
set_location_assignment PIN_AD5 -to SRAM_ADDR[5]
252
set_location_assignment PIN_AE5 -to SRAM_ADDR[6]
253
set_location_assignment PIN_AF5 -to SRAM_ADDR[7]
254
set_location_assignment PIN_AD6 -to SRAM_ADDR[8]
255
set_location_assignment PIN_AD7 -to SRAM_ADDR[9]
256
set_location_assignment PIN_V10 -to SRAM_ADDR[10]
257
set_location_assignment PIN_V9 -to SRAM_ADDR[11]
258
set_location_assignment PIN_AC7 -to SRAM_ADDR[12]
259
set_location_assignment PIN_W8 -to SRAM_ADDR[13]
260
set_location_assignment PIN_W10 -to SRAM_ADDR[14]
261
set_location_assignment PIN_Y10 -to SRAM_ADDR[15]
262
set_location_assignment PIN_AB8 -to SRAM_ADDR[16]
263
set_location_assignment PIN_AC8 -to SRAM_ADDR[17]
264
set_location_assignment PIN_AD8 -to SRAM_DQ[0]
265
set_location_assignment PIN_AE6 -to SRAM_DQ[1]
266
set_location_assignment PIN_AF6 -to SRAM_DQ[2]
267
set_location_assignment PIN_AA9 -to SRAM_DQ[3]
268
set_location_assignment PIN_AA10 -to SRAM_DQ[4]
269
set_location_assignment PIN_AB10 -to SRAM_DQ[5]
270
set_location_assignment PIN_AA11 -to SRAM_DQ[6]
271
set_location_assignment PIN_Y11 -to SRAM_DQ[7]
272
set_location_assignment PIN_AE7 -to SRAM_DQ[8]
273
set_location_assignment PIN_AF7 -to SRAM_DQ[9]
274
set_location_assignment PIN_AE8 -to SRAM_DQ[10]
275
set_location_assignment PIN_AF8 -to SRAM_DQ[11]
276
set_location_assignment PIN_W11 -to SRAM_DQ[12]
277
set_location_assignment PIN_W12 -to SRAM_DQ[13]
278
set_location_assignment PIN_AC9 -to SRAM_DQ[14]
279
set_location_assignment PIN_AC10 -to SRAM_DQ[15]
280
set_location_assignment PIN_AE10 -to SRAM_WE_N
281
set_location_assignment PIN_AD10 -to SRAM_OE_N
282
set_location_assignment PIN_AF9 -to SRAM_UB_N
283
set_location_assignment PIN_AE9 -to SRAM_LB_N
284
set_location_assignment PIN_AC11 -to SRAM_CE_N
285
set_location_assignment PIN_K7 -to OTG_ADDR[0]
286
set_location_assignment PIN_F2 -to OTG_ADDR[1]
287
set_location_assignment PIN_F1 -to OTG_CS_N
288
set_location_assignment PIN_G2 -to OTG_RD_N
289
set_location_assignment PIN_G1 -to OTG_WR_N
290
set_location_assignment PIN_G5 -to OTG_RST_N
291
set_location_assignment PIN_F4 -to OTG_DATA[0]
292
set_location_assignment PIN_D2 -to OTG_DATA[1]
293
set_location_assignment PIN_D1 -to OTG_DATA[2]
294
set_location_assignment PIN_F7 -to OTG_DATA[3]
295
set_location_assignment PIN_J5 -to OTG_DATA[4]
296
set_location_assignment PIN_J8 -to OTG_DATA[5]
297
set_location_assignment PIN_J7 -to OTG_DATA[6]
298
set_location_assignment PIN_H6 -to OTG_DATA[7]
299
set_location_assignment PIN_E2 -to OTG_DATA[8]
300
set_location_assignment PIN_E1 -to OTG_DATA[9]
301
set_location_assignment PIN_K6 -to OTG_DATA[10]
302
set_location_assignment PIN_K5 -to OTG_DATA[11]
303
set_location_assignment PIN_G4 -to OTG_DATA[12]
304
set_location_assignment PIN_G3 -to OTG_DATA[13]
305
set_location_assignment PIN_J6 -to OTG_DATA[14]
306
set_location_assignment PIN_K8 -to OTG_DATA[15]
307
set_location_assignment PIN_B3 -to OTG_INT0
308
set_location_assignment PIN_C3 -to OTG_INT1
309
set_location_assignment PIN_C2 -to OTG_DACK0_N
310
set_location_assignment PIN_B2 -to OTG_DACK1_N
311
set_location_assignment PIN_F6 -to OTG_DREQ0
312
set_location_assignment PIN_E5 -to OTG_DREQ1
313
set_location_assignment PIN_F3 -to OTG_FSPEED
314
set_location_assignment PIN_G6 -to OTG_LSPEED
315
set_location_assignment PIN_B14 -to TDI
316
set_location_assignment PIN_A14 -to TCS
317
set_location_assignment PIN_D14 -to TCK
318
set_location_assignment PIN_F14 -to TDO
319
set_location_assignment PIN_C4 -to TD_RESET
320
set_location_assignment PIN_C8 -to VGA_R[0]
321
set_location_assignment PIN_F10 -to VGA_R[1]
322
set_location_assignment PIN_G10 -to VGA_R[2]
323
set_location_assignment PIN_D9 -to VGA_R[3]
324
set_location_assignment PIN_C9 -to VGA_R[4]
325
set_location_assignment PIN_A8 -to VGA_R[5]
326
set_location_assignment PIN_H11 -to VGA_R[6]
327
set_location_assignment PIN_H12 -to VGA_R[7]
328
set_location_assignment PIN_F11 -to VGA_R[8]
329
set_location_assignment PIN_E10 -to VGA_R[9]
330
set_location_assignment PIN_B9 -to VGA_G[0]
331
set_location_assignment PIN_A9 -to VGA_G[1]
332
set_location_assignment PIN_C10 -to VGA_G[2]
333
set_location_assignment PIN_D10 -to VGA_G[3]
334
set_location_assignment PIN_B10 -to VGA_G[4]
335
set_location_assignment PIN_A10 -to VGA_G[5]
336
set_location_assignment PIN_G11 -to VGA_G[6]
337
set_location_assignment PIN_D11 -to VGA_G[7]
338
set_location_assignment PIN_E12 -to VGA_G[8]
339
set_location_assignment PIN_D12 -to VGA_G[9]
340
set_location_assignment PIN_J13 -to VGA_B[0]
341
set_location_assignment PIN_J14 -to VGA_B[1]
342
set_location_assignment PIN_F12 -to VGA_B[2]
343
set_location_assignment PIN_G12 -to VGA_B[3]
344
set_location_assignment PIN_J10 -to VGA_B[4]
345
set_location_assignment PIN_J11 -to VGA_B[5]
346
set_location_assignment PIN_C11 -to VGA_B[6]
347
set_location_assignment PIN_B11 -to VGA_B[7]
348
set_location_assignment PIN_C12 -to VGA_B[8]
349
set_location_assignment PIN_B12 -to VGA_B[9]
350
set_location_assignment PIN_B8 -to VGA_CLK
351
set_location_assignment PIN_D6 -to VGA_BLANK
352
set_location_assignment PIN_A7 -to VGA_HS
353
set_location_assignment PIN_D8 -to VGA_VS
354
set_location_assignment PIN_B7 -to VGA_SYNC
355
set_location_assignment PIN_A6 -to I2C_SCLK
356
set_location_assignment PIN_B6 -to I2C_SDAT
357
set_location_assignment PIN_J9 -to TD_DATA[0]
358
set_location_assignment PIN_E8 -to TD_DATA[1]
359
set_location_assignment PIN_H8 -to TD_DATA[2]
360
set_location_assignment PIN_H10 -to TD_DATA[3]
361
set_location_assignment PIN_G9 -to TD_DATA[4]
362
set_location_assignment PIN_F9 -to TD_DATA[5]
363
set_location_assignment PIN_D7 -to TD_DATA[6]
364
set_location_assignment PIN_C7 -to TD_DATA[7]
365
set_location_assignment PIN_D5 -to TD_HS
366
set_location_assignment PIN_K9 -to TD_VS
367
set_location_assignment PIN_C5 -to AUD_ADCLRCK
368
set_location_assignment PIN_B5 -to AUD_ADCDAT
369
set_location_assignment PIN_C6 -to AUD_DACLRCK
370
set_location_assignment PIN_A4 -to AUD_DACDAT
371
set_location_assignment PIN_A5 -to AUD_XCK
372
set_location_assignment PIN_B4 -to AUD_BCLK
373
set_location_assignment PIN_D17 -to ENET_DATA[0]
374
set_location_assignment PIN_C17 -to ENET_DATA[1]
375
set_location_assignment PIN_B18 -to ENET_DATA[2]
376
set_location_assignment PIN_A18 -to ENET_DATA[3]
377
set_location_assignment PIN_B17 -to ENET_DATA[4]
378
set_location_assignment PIN_A17 -to ENET_DATA[5]
379
set_location_assignment PIN_B16 -to ENET_DATA[6]
380
set_location_assignment PIN_B15 -to ENET_DATA[7]
381
set_location_assignment PIN_B20 -to ENET_DATA[8]
382
set_location_assignment PIN_A20 -to ENET_DATA[9]
383
set_location_assignment PIN_C19 -to ENET_DATA[10]
384
set_location_assignment PIN_D19 -to ENET_DATA[11]
385
set_location_assignment PIN_B19 -to ENET_DATA[12]
386
set_location_assignment PIN_A19 -to ENET_DATA[13]
387
set_location_assignment PIN_E18 -to ENET_DATA[14]
388
set_location_assignment PIN_D18 -to ENET_DATA[15]
389
set_location_assignment PIN_B24 -to ENET_CLK
390
set_location_assignment PIN_A21 -to ENET_CMD
391
set_location_assignment PIN_A23 -to ENET_CS_N
392
set_location_assignment PIN_B21 -to ENET_INT
393
set_location_assignment PIN_A22 -to ENET_RD_N
394
set_location_assignment PIN_B22 -to ENET_WR_N
395
set_location_assignment PIN_B23 -to ENET_RST_N
396
set_location_assignment PIN_AE24 -to IRDA_TXD
397
set_location_assignment PIN_AE25 -to IRDA_RXD
398
set_location_assignment PIN_AD24 -to SD_DAT
399
set_location_assignment PIN_AC23 -to SD_DAT3
400
set_location_assignment PIN_Y21 -to SD_CMD
401
set_location_assignment PIN_AD25 -to SD_CLK
402
set_location_assignment PIN_D25 -to GPIO_0[0]
403
set_location_assignment PIN_J22 -to GPIO_0[1]
404
set_location_assignment PIN_E26 -to GPIO_0[2]
405
set_location_assignment PIN_E25 -to GPIO_0[3]
406
set_location_assignment PIN_F24 -to GPIO_0[4]
407
set_location_assignment PIN_F23 -to GPIO_0[5]
408
set_location_assignment PIN_J21 -to GPIO_0[6]
409
set_location_assignment PIN_J20 -to GPIO_0[7]
410
set_location_assignment PIN_F25 -to GPIO_0[8]
411
set_location_assignment PIN_F26 -to GPIO_0[9]
412
set_location_assignment PIN_N18 -to GPIO_0[10]
413
set_location_assignment PIN_P18 -to GPIO_0[11]
414
set_location_assignment PIN_G23 -to GPIO_0[12]
415
set_location_assignment PIN_G24 -to GPIO_0[13]
416
set_location_assignment PIN_K22 -to GPIO_0[14]
417
set_location_assignment PIN_G25 -to GPIO_0[15]
418
set_location_assignment PIN_H23 -to GPIO_0[16]
419
set_location_assignment PIN_H24 -to GPIO_0[17]
420
set_location_assignment PIN_J23 -to GPIO_0[18]
421
set_location_assignment PIN_J24 -to GPIO_0[19]
422
set_location_assignment PIN_H25 -to GPIO_0[20]
423
set_location_assignment PIN_H26 -to GPIO_0[21]
424
set_location_assignment PIN_H19 -to GPIO_0[22]
425
set_location_assignment PIN_K18 -to GPIO_0[23]
426
set_location_assignment PIN_K19 -to GPIO_0[24]
427
set_location_assignment PIN_K21 -to GPIO_0[25]
428
set_location_assignment PIN_K23 -to GPIO_0[26]
429
set_location_assignment PIN_K24 -to GPIO_0[27]
430
set_location_assignment PIN_L21 -to GPIO_0[28]
431
set_location_assignment PIN_L20 -to GPIO_0[29]
432
set_location_assignment PIN_J25 -to GPIO_0[30]
433
set_location_assignment PIN_J26 -to GPIO_0[31]
434
set_location_assignment PIN_L23 -to GPIO_0[32]
435
set_location_assignment PIN_L24 -to GPIO_0[33]
436
set_location_assignment PIN_L25 -to GPIO_0[34]
437
set_location_assignment PIN_L19 -to GPIO_0[35]
438
set_location_assignment PIN_K25 -to GPIO_1[0]
439
set_location_assignment PIN_K26 -to GPIO_1[1]
440
set_location_assignment PIN_M22 -to GPIO_1[2]
441
set_location_assignment PIN_M23 -to GPIO_1[3]
442
set_location_assignment PIN_M19 -to GPIO_1[4]
443
set_location_assignment PIN_M20 -to GPIO_1[5]
444
set_location_assignment PIN_N20 -to GPIO_1[6]
445
set_location_assignment PIN_M21 -to GPIO_1[7]
446
set_location_assignment PIN_M24 -to GPIO_1[8]
447
set_location_assignment PIN_M25 -to GPIO_1[9]
448
set_location_assignment PIN_N24 -to GPIO_1[10]
449
set_location_assignment PIN_P24 -to GPIO_1[11]
450
set_location_assignment PIN_R25 -to GPIO_1[12]
451
set_location_assignment PIN_R24 -to GPIO_1[13]
452
set_location_assignment PIN_R20 -to GPIO_1[14]
453
set_location_assignment PIN_T22 -to GPIO_1[15]
454
set_location_assignment PIN_T23 -to GPIO_1[16]
455
set_location_assignment PIN_T24 -to GPIO_1[17]
456
set_location_assignment PIN_T25 -to GPIO_1[18]
457
set_location_assignment PIN_T18 -to GPIO_1[19]
458
set_location_assignment PIN_T21 -to GPIO_1[20]
459
set_location_assignment PIN_T20 -to GPIO_1[21]
460
set_location_assignment PIN_U26 -to GPIO_1[22]
461
set_location_assignment PIN_U25 -to GPIO_1[23]
462
set_location_assignment PIN_U23 -to GPIO_1[24]
463
set_location_assignment PIN_U24 -to GPIO_1[25]
464
set_location_assignment PIN_R19 -to GPIO_1[26]
465
set_location_assignment PIN_T19 -to GPIO_1[27]
466
set_location_assignment PIN_U20 -to GPIO_1[28]
467
set_location_assignment PIN_U21 -to GPIO_1[29]
468
set_location_assignment PIN_V26 -to GPIO_1[30]
469
set_location_assignment PIN_V25 -to GPIO_1[31]
470
set_location_assignment PIN_V24 -to GPIO_1[32]
471
set_location_assignment PIN_V23 -to GPIO_1[33]
472
set_location_assignment PIN_W25 -to GPIO_1[34]
473
set_location_assignment PIN_W23 -to GPIO_1[35]
474
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
475
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
476
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
477
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
478
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
479
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
480
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
481
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
482
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/fifos/multiclk_fifo/1.0/vhd/multiclk_fifo.vhd
483
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/fifos/fifo/1.0/vhd/fifo.vhd
484
set_global_assignment -name SOPC_FILE udp2hibi_demo_cpu.sopc
485
set_global_assignment -name QUARTUS_PTF_FILE udp2hibi_demo_cpu.ptf
486
set_global_assignment -name VHDL_FILE pout.vhd
487
set_global_assignment -name VHDL_FILE pin.vhd
488
set_global_assignment -name VHDL_FILE onchip_memory2_0.vhd
489
set_global_assignment -name VHDL_FILE n2h2_chan_0.vhd
490
set_global_assignment -name VHDL_FILE jtag_uart_0.vhd
491
set_global_assignment -name VHDL_FILE cpu_0_mult_cell.vhd
492
set_global_assignment -name VHDL_FILE cpu_0_jtag_debug_module_wrapper.vhd
493
set_global_assignment -name VHDL_FILE cpu_0_jtag_debug_module_tck.vhd
494
set_global_assignment -name VHDL_FILE cpu_0_jtag_debug_module_sysclk.vhd
495
set_global_assignment -name VHDL_FILE cpu_0.vhd
496
set_global_assignment -name VHDL_FILE udp2hibi_demo_cpu.vhd
497
set_global_assignment -name SOURCE_FILE udp2hibi_demo_cpu.sopcinfo
498
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/hibi_wrapper_r1.vhd
499
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/transmitter.vhd
500
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/rx_control.vhd
501
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/receiver.vhd
502
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/lfsr.vhd
503
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/hibiv3_pkg.vhd
504
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/hibi_wrapper_r4.vhd
505
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/hibi_wrapper_r3.vhd
506
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/hibi_segment_v3.vhd
507
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/fifo_mux_rd.vhd
508
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/fifo_demux_wr.vhd
509
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/dyn_arb.vhd
510
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/double_fifo_mux_rd.vhd
511
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/double_fifo_demux_wr.vhd
512
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/cfg_mem.vhd
513
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/cfg_init_pkg.vhd
514
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/addr_decoder.vhd
515
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/addr_data_mux_write.vhd
516
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/addr_data_demux_read.vhd
517
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.communication/hibi/3.0/vhd/tx_control.vhd
518
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/sdram2hibi/1.0/vhd/sdram_wr_port.vhd
519
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/sdram2hibi/1.0/vhd/sdram_toplevel_de2.vhd
520
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/sdram2hibi/1.0/vhd/sdram_rd_port.vhd
521
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/sdram2hibi/1.0/vhd/sdram_controller_de2.vhd
522
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/sdram2hibi/1.0/vhd/sdram_arbiter.vhd
523
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/sdram2hibi/1.0/vhd/sdram2hibi.vhd
524
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.storage/sdram2hibi/1.0/vhd/wra_16sdram_32hibi.vhd
525
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/eth_dm9000a_ctrl/1.0/vhd/DM9kA_read_module.vhd
526
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/eth_dm9000a_ctrl/1.0/vhd/DM9kA_interrupt_handler.vhd
527
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/eth_dm9000a_ctrl/1.0/vhd/DM9kA_init_module.vhd
528
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/eth_dm9000a_ctrl/1.0/vhd/DM9kA_ctrl_pkg.vhd
529
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/eth_dm9000a_ctrl/1.0/vhd/DM9kA_controller.vhd
530
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/eth_dm9000a_ctrl/1.0/vhd/DM9kA_comm_module.vhd
531
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/eth_dm9000a_ctrl/1.0/vhd/DM9kA_send_module.vhd
532
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp_ip/1.0/vhd/arpsnd.vhd
533
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp_ip/1.0/vhd/arp3.vhd
534
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp_ip/1.0/vhd/udp_ip_pkg.vhd
535
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp_ip/1.0/vhd/udp_ip.vhd
536
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp_ip/1.0/vhd/udp_arp_data_mux.vhd
537
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp_ip/1.0/vhd/udp.vhd
538
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp_ip/1.0/vhd/ip_checksum.vhd
539
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/udp2hibi.vhd
540
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/tx_ctrl.vhd
541
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/rx_ctrl.vhd
542
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/hibi_transmitter.vhd
543
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/hibi_receiver.vhd
544
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/eth_udpip_udp2hibi_top.vhd
545
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/ctrl_regs.vhd
546
set_global_assignment -name VHDL_FILE ../../../../ip.hwp.interface/udp2hibi/1.0/vhd/udp2hibi_pkg.vhd
547
set_global_assignment -name VHDL_FILE ../vhd/udp2hibi_example_top.vhd
548
set_global_assignment -name QIP_FILE udp2hibi_demo_cpu.qip
549
set_global_assignment -name QIP_FILE ../vhd/pll.qip
550
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.