OpenCores
URL https://opencores.org/ocsvn/fwrisc/fwrisc/trunk

Subversion Repositories fwrisc

[/] [fwrisc/] [trunk/] [synth/] [intel/] [fwrisc/] [fwrisc.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mballance
 
2
create_clock -period 20 [get_ports clock]
3
derive_pll_clocks
4
 
5
set_input_delay -clock clock -max 3 [all_inputs]
6
set_input_delay -clock clock -min 2 [all_inputs]
7
 
8
set_output_delay -clock clock -max 3 [all_outputs]
9
set_output_delay -clock clock -min 2 [all_outputs]
10
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.