OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [SIM/] [MODELSIM/] [G729A_self_test_sim.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
#-----------------------------------------------------------------
2
#--                                                             --
3
#-----------------------------------------------------------------
4
#--                                                             --
5
#-- Copyright (C) 2013 Stefano Tonello                          --
6
#--                                                             --
7
#-- This source file may be used and distributed without        --
8
#-- restriction provided that this copyright statement is not   --
9
#-- removed from the file and that any derivative work contains --
10
#-- the original copyright notice and the associated disclaimer.--
11
#--                                                             --
12
#-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
#-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
#-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
#-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
#-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
#-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
#-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
#-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
#-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
#-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
#-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
#-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
#-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
#--                                                             --
26
#-----------------------------------------------------------------
27
 
28
#---------------------------------------------------------------
29
# G.729A Codec self-test module simulation script for Modelsim
30
# simulator.
31
#---------------------------------------------------------------
32
 
33
# VHDL source file directory path
34
set SRC_DIR C:/Archive/G729A/G729A_CODEC_V1_0/VHDL
35
 
36
vcom $SRC_DIR/G729A_asip_pkg.vhd
37
vcom $SRC_DIR/G729A_asip_basic_pkg.vhd
38
vcom $SRC_DIR/G729A_asip_arith_pkg.vhd
39
vcom $SRC_DIR/G729A_asip_op_pkg.vhd
40
vcom $SRC_DIR/G729A_asip_idec_2w_pkg.vhd
41
vcom $SRC_DIR/G729A_asip_cfg_pkg.vhd
42
vcom $SRC_DIR/G729A_codec_intf_pkg.vhd
43
vcom $SRC_DIR/G729A_asip_lcstk.vhd
44
vcom $SRC_DIR/G729A_asip_lcstklog_ix.vhd
45
vcom $SRC_DIR/G729A_asip_lcstklog_2w.vhd
46
vcom $SRC_DIR/G729A_asip_ftchlog_2w.vhd
47
vcom $SRC_DIR/G729A_asip_idec.vhd
48
vcom $SRC_DIR/G729A_asip_idec_2w.vhd
49
vcom $SRC_DIR/G729A_asip_ifq.vhd
50
vcom $SRC_DIR/G729A_asip_pstllog_2w_p6.vhd
51
vcom $SRC_DIR/G729A_asip_adder_f.vhd
52
vcom $SRC_DIR/G729A_asip_addsub_pipeb.vhd
53
vcom $SRC_DIR/G729A_asip_mulu_pipeb.vhd
54
vcom $SRC_DIR/G729A_asip_shftu.vhd
55
vcom $SRC_DIR/G729A_asip_logic.vhd
56
vcom $SRC_DIR/G729A_asip_pipe_a_2w.vhd
57
vcom $SRC_DIR/G729A_asip_pipe_b.vhd
58
vcom $SRC_DIR/G729A_asip_lsu.vhd
59
vcom $SRC_DIR/G729A_asip_lu.vhd
60
vcom $SRC_DIR/G729A_asip_regfile_16x16_2w.vhd
61
vcom $SRC_DIR/G729A_asip_rams.vhd
62
vcom $SRC_DIR/G729A_asip_bjxlog.vhd
63
vcom $SRC_DIR/G729A_asip_pxlog.vhd
64
vcom $SRC_DIR/G729A_asip_fwdlog_2w_p6.vhd
65
vcom $SRC_DIR/G729A_asip_cpu_2w_p6.vhd
66
vcom $SRC_DIR/G729A_asip_top_2w.vhd
67
vcom $SRC_DIR/G729A_asip_spc.vhd
68
vcom $SRC_DIR/G729A_codec_sdp.vhd
69
# Simulation ROM model files
70
vcom $SRC_DIR/G729A_asip_romi_pkg.vhd
71
vcom $SRC_DIR/G729A_asip_romd_pkg.vhd
72
vcom $SRC_DIR/G729A_asip_roms.vhd
73
# Self-test module files
74
vcom $SRC_DIR/SELF_TEST/G729A_codec_st_rom_pkg.vhd
75
vcom $SRC_DIR/SELF_TEST/G729A_codec_st_roms.vhd
76
vcom $SRC_DIR/SELF_TEST/G729A_codec_selftest.vhd
77
vcom $SRC_DIR/SELF_TEST/G729A_codec_selftest_TB.vhd
78
# Self-test simulation test-bench
79
vsim work.g729a_codec_selftest_TB
80
# Waveforms...
81
add wave /g729a_codec_selftest_tb/clk
82
add wave /g729a_codec_selftest_tb/rst
83
add wave /g729a_codec_selftest_tb/done
84
add wave /g729a_codec_selftest_tb/pass
85
add wave -noupdate -format Literal /g729a_codec_selftest_tb/u_dut/pkt_cnt_q
86
add wave -noupdate -format Literal /g729a_codec_selftest_tb/u_dut/err_cnt_q
87
 
88
run 27ms

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.