OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [VHDL/] [SELF_TEST/] [G729A_codec_st_rom_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2013 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- G.729a Codec Self-test ROMs package
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library WORK;
37
use work.G729A_ASIP_PKG.all;
38
use work.G729A_ASIP_CFG_PKG.all;
39
 
40
package G729A_CODEC_ST_ROM_PKG is
41
 
42
  subtype ROM_WORD_T is std_logic_vector(SDLEN-1 downto 0);
43
 
44
  type ROM_DATA_T is array (0 to 425-1) of ROM_WORD_T;
45
 
46
  constant STI_ROM_INIT_DATA : ROM_DATA_T := (
47
    to_std_logic_vector(to_signed(-5752,SDLEN)),
48
    to_std_logic_vector(to_signed(160,SDLEN)),
49
    to_std_logic_vector(to_signed(250,SDLEN)),
50
    to_std_logic_vector(to_signed(-15681,SDLEN)),
51
    to_std_logic_vector(to_signed(-18462,SDLEN)),
52
    to_std_logic_vector(to_signed(0,SDLEN)),
53
    to_std_logic_vector(to_signed(0,SDLEN)),
54
    to_std_logic_vector(to_signed(0,SDLEN)),
55
    to_std_logic_vector(to_signed(0,SDLEN)),
56
    to_std_logic_vector(to_signed(0,SDLEN)),
57
    to_std_logic_vector(to_signed(0,SDLEN)),
58
    to_std_logic_vector(to_signed(0,SDLEN)),
59
    to_std_logic_vector(to_signed(0,SDLEN)),
60
    to_std_logic_vector(to_signed(0,SDLEN)),
61
    to_std_logic_vector(to_signed(0,SDLEN)),
62
    to_std_logic_vector(to_signed(0,SDLEN)),
63
    to_std_logic_vector(to_signed(0,SDLEN)),
64
    to_std_logic_vector(to_signed(0,SDLEN)),
65
    to_std_logic_vector(to_signed(0,SDLEN)),
66
    to_std_logic_vector(to_signed(0,SDLEN)),
67
    to_std_logic_vector(to_signed(0,SDLEN)),
68
    to_std_logic_vector(to_signed(0,SDLEN)),
69
    to_std_logic_vector(to_signed(0,SDLEN)),
70
    to_std_logic_vector(to_signed(0,SDLEN)),
71
    to_std_logic_vector(to_signed(0,SDLEN)),
72
    to_std_logic_vector(to_signed(0,SDLEN)),
73
    to_std_logic_vector(to_signed(0,SDLEN)),
74
    to_std_logic_vector(to_signed(0,SDLEN)),
75
    to_std_logic_vector(to_signed(0,SDLEN)),
76
    to_std_logic_vector(to_signed(0,SDLEN)),
77
    to_std_logic_vector(to_signed(0,SDLEN)),
78
    to_std_logic_vector(to_signed(0,SDLEN)),
79
    to_std_logic_vector(to_signed(0,SDLEN)),
80
    to_std_logic_vector(to_signed(0,SDLEN)),
81
    to_std_logic_vector(to_signed(0,SDLEN)),
82
    to_std_logic_vector(to_signed(86,SDLEN)),
83
    to_std_logic_vector(to_signed(94,SDLEN)),
84
    to_std_logic_vector(to_signed(102,SDLEN)),
85
    to_std_logic_vector(to_signed(109,SDLEN)),
86
    to_std_logic_vector(to_signed(117,SDLEN)),
87
    to_std_logic_vector(to_signed(125,SDLEN)),
88
    to_std_logic_vector(to_signed(133,SDLEN)),
89
    to_std_logic_vector(to_signed(141,SDLEN)),
90
    to_std_logic_vector(to_signed(148,SDLEN)),
91
    to_std_logic_vector(to_signed(155,SDLEN)),
92
    to_std_logic_vector(to_signed(162,SDLEN)),
93
    to_std_logic_vector(to_signed(169,SDLEN)),
94
    to_std_logic_vector(to_signed(174,SDLEN)),
95
    to_std_logic_vector(to_signed(179,SDLEN)),
96
    to_std_logic_vector(to_signed(184,SDLEN)),
97
    to_std_logic_vector(to_signed(187,SDLEN)),
98
    to_std_logic_vector(to_signed(189,SDLEN)),
99
    to_std_logic_vector(to_signed(191,SDLEN)),
100
    to_std_logic_vector(to_signed(190,SDLEN)),
101
    to_std_logic_vector(to_signed(189,SDLEN)),
102
    to_std_logic_vector(to_signed(186,SDLEN)),
103
    to_std_logic_vector(to_signed(181,SDLEN)),
104
    to_std_logic_vector(to_signed(174,SDLEN)),
105
    to_std_logic_vector(to_signed(166,SDLEN)),
106
    to_std_logic_vector(to_signed(156,SDLEN)),
107
    to_std_logic_vector(to_signed(143,SDLEN)),
108
    to_std_logic_vector(to_signed(129,SDLEN)),
109
    to_std_logic_vector(to_signed(113,SDLEN)),
110
    to_std_logic_vector(to_signed(95,SDLEN)),
111
    to_std_logic_vector(to_signed(75,SDLEN)),
112
    to_std_logic_vector(to_signed(54,SDLEN)),
113
    to_std_logic_vector(to_signed(31,SDLEN)),
114
    to_std_logic_vector(to_signed(6,SDLEN)),
115
    to_std_logic_vector(to_signed(-19,SDLEN)),
116
    to_std_logic_vector(to_signed(-46,SDLEN)),
117
    to_std_logic_vector(to_signed(-74,SDLEN)),
118
    to_std_logic_vector(to_signed(-102,SDLEN)),
119
    to_std_logic_vector(to_signed(-131,SDLEN)),
120
    to_std_logic_vector(to_signed(-159,SDLEN)),
121
    to_std_logic_vector(to_signed(-186,SDLEN)),
122
    to_std_logic_vector(to_signed(-212,SDLEN)),
123
    to_std_logic_vector(to_signed(-236,SDLEN)),
124
    to_std_logic_vector(to_signed(-258,SDLEN)),
125
    to_std_logic_vector(to_signed(-277,SDLEN)),
126
    to_std_logic_vector(to_signed(-293,SDLEN)),
127
    to_std_logic_vector(to_signed(-306,SDLEN)),
128
    to_std_logic_vector(to_signed(-314,SDLEN)),
129
    to_std_logic_vector(to_signed(-318,SDLEN)),
130
    to_std_logic_vector(to_signed(-317,SDLEN)),
131
    to_std_logic_vector(to_signed(-311,SDLEN)),
132
    to_std_logic_vector(to_signed(-29314,SDLEN)),
133
    to_std_logic_vector(to_signed(6197,SDLEN)),
134
    to_std_logic_vector(to_signed(7439,SDLEN)),
135
    to_std_logic_vector(to_signed(-11681,SDLEN)),
136
    to_std_logic_vector(to_signed(22234,SDLEN)),
137
    to_std_logic_vector(to_signed(-300,SDLEN)),
138
    to_std_logic_vector(to_signed(-283,SDLEN)),
139
    to_std_logic_vector(to_signed(-260,SDLEN)),
140
    to_std_logic_vector(to_signed(-232,SDLEN)),
141
    to_std_logic_vector(to_signed(-199,SDLEN)),
142
    to_std_logic_vector(to_signed(-160,SDLEN)),
143
    to_std_logic_vector(to_signed(-118,SDLEN)),
144
    to_std_logic_vector(to_signed(-71,SDLEN)),
145
    to_std_logic_vector(to_signed(-22,SDLEN)),
146
    to_std_logic_vector(to_signed(28,SDLEN)),
147
    to_std_logic_vector(to_signed(81,SDLEN)),
148
    to_std_logic_vector(to_signed(134,SDLEN)),
149
    to_std_logic_vector(to_signed(185,SDLEN)),
150
    to_std_logic_vector(to_signed(235,SDLEN)),
151
    to_std_logic_vector(to_signed(280,SDLEN)),
152
    to_std_logic_vector(to_signed(320,SDLEN)),
153
    to_std_logic_vector(to_signed(355,SDLEN)),
154
    to_std_logic_vector(to_signed(382,SDLEN)),
155
    to_std_logic_vector(to_signed(400,SDLEN)),
156
    to_std_logic_vector(to_signed(409,SDLEN)),
157
    to_std_logic_vector(to_signed(408,SDLEN)),
158
    to_std_logic_vector(to_signed(396,SDLEN)),
159
    to_std_logic_vector(to_signed(374,SDLEN)),
160
    to_std_logic_vector(to_signed(341,SDLEN)),
161
    to_std_logic_vector(to_signed(298,SDLEN)),
162
    to_std_logic_vector(to_signed(245,SDLEN)),
163
    to_std_logic_vector(to_signed(183,SDLEN)),
164
    to_std_logic_vector(to_signed(115,SDLEN)),
165
    to_std_logic_vector(to_signed(41,SDLEN)),
166
    to_std_logic_vector(to_signed(-35,SDLEN)),
167
    to_std_logic_vector(to_signed(-113,SDLEN)),
168
    to_std_logic_vector(to_signed(-190,SDLEN)),
169
    to_std_logic_vector(to_signed(-262,SDLEN)),
170
    to_std_logic_vector(to_signed(-329,SDLEN)),
171
    to_std_logic_vector(to_signed(-386,SDLEN)),
172
    to_std_logic_vector(to_signed(-432,SDLEN)),
173
    to_std_logic_vector(to_signed(-465,SDLEN)),
174
    to_std_logic_vector(to_signed(-482,SDLEN)),
175
    to_std_logic_vector(to_signed(-483,SDLEN)),
176
    to_std_logic_vector(to_signed(-467,SDLEN)),
177
    to_std_logic_vector(to_signed(-434,SDLEN)),
178
    to_std_logic_vector(to_signed(-384,SDLEN)),
179
    to_std_logic_vector(to_signed(-318,SDLEN)),
180
    to_std_logic_vector(to_signed(-239,SDLEN)),
181
    to_std_logic_vector(to_signed(-148,SDLEN)),
182
    to_std_logic_vector(to_signed(-50,SDLEN)),
183
    to_std_logic_vector(to_signed(52,SDLEN)),
184
    to_std_logic_vector(to_signed(155,SDLEN)),
185
    to_std_logic_vector(to_signed(254,SDLEN)),
186
    to_std_logic_vector(to_signed(345,SDLEN)),
187
    to_std_logic_vector(to_signed(424,SDLEN)),
188
    to_std_logic_vector(to_signed(486,SDLEN)),
189
    to_std_logic_vector(to_signed(528,SDLEN)),
190
    to_std_logic_vector(to_signed(548,SDLEN)),
191
    to_std_logic_vector(to_signed(544,SDLEN)),
192
    to_std_logic_vector(to_signed(515,SDLEN)),
193
    to_std_logic_vector(to_signed(461,SDLEN)),
194
    to_std_logic_vector(to_signed(385,SDLEN)),
195
    to_std_logic_vector(to_signed(289,SDLEN)),
196
    to_std_logic_vector(to_signed(177,SDLEN)),
197
    to_std_logic_vector(to_signed(53,SDLEN)),
198
    to_std_logic_vector(to_signed(-74,SDLEN)),
199
    to_std_logic_vector(to_signed(-202,SDLEN)),
200
    to_std_logic_vector(to_signed(-322,SDLEN)),
201
    to_std_logic_vector(to_signed(-428,SDLEN)),
202
    to_std_logic_vector(to_signed(-513,SDLEN)),
203
    to_std_logic_vector(to_signed(-573,SDLEN)),
204
    to_std_logic_vector(to_signed(-604,SDLEN)),
205
    to_std_logic_vector(to_signed(-602,SDLEN)),
206
    to_std_logic_vector(to_signed(-567,SDLEN)),
207
    to_std_logic_vector(to_signed(-500,SDLEN)),
208
    to_std_logic_vector(to_signed(-402,SDLEN)),
209
    to_std_logic_vector(to_signed(-280,SDLEN)),
210
    to_std_logic_vector(to_signed(-139,SDLEN)),
211
    to_std_logic_vector(to_signed(12,SDLEN)),
212
    to_std_logic_vector(to_signed(166,SDLEN)),
213
    to_std_logic_vector(to_signed(313,SDLEN)),
214
    to_std_logic_vector(to_signed(444,SDLEN)),
215
    to_std_logic_vector(to_signed(550,SDLEN)),
216
    to_std_logic_vector(to_signed(623,SDLEN)),
217
    to_std_logic_vector(to_signed(-24229,SDLEN)),
218
    to_std_logic_vector(to_signed(18148,SDLEN)),
219
    to_std_logic_vector(to_signed(7402,SDLEN)),
220
    to_std_logic_vector(to_signed(25047,SDLEN)),
221
    to_std_logic_vector(to_signed(-10473,SDLEN)),
222
    to_std_logic_vector(to_signed(658,SDLEN)),
223
    to_std_logic_vector(to_signed(651,SDLEN)),
224
    to_std_logic_vector(to_signed(602,SDLEN)),
225
    to_std_logic_vector(to_signed(512,SDLEN)),
226
    to_std_logic_vector(to_signed(386,SDLEN)),
227
    to_std_logic_vector(to_signed(232,SDLEN)),
228
    to_std_logic_vector(to_signed(59,SDLEN)),
229
    to_std_logic_vector(to_signed(-120,SDLEN)),
230
    to_std_logic_vector(to_signed(-295,SDLEN)),
231
    to_std_logic_vector(to_signed(-452,SDLEN)),
232
    to_std_logic_vector(to_signed(-579,SDLEN)),
233
    to_std_logic_vector(to_signed(-667,SDLEN)),
234
    to_std_logic_vector(to_signed(-707,SDLEN)),
235
    to_std_logic_vector(to_signed(-696,SDLEN)),
236
    to_std_logic_vector(to_signed(-632,SDLEN)),
237
    to_std_logic_vector(to_signed(-519,SDLEN)),
238
    to_std_logic_vector(to_signed(-364,SDLEN)),
239
    to_std_logic_vector(to_signed(-179,SDLEN)),
240
    to_std_logic_vector(to_signed(23,SDLEN)),
241
    to_std_logic_vector(to_signed(227,SDLEN)),
242
    to_std_logic_vector(to_signed(417,SDLEN)),
243
    to_std_logic_vector(to_signed(575,SDLEN)),
244
    to_std_logic_vector(to_signed(690,SDLEN)),
245
    to_std_logic_vector(to_signed(748,SDLEN)),
246
    to_std_logic_vector(to_signed(745,SDLEN)),
247
    to_std_logic_vector(to_signed(679,SDLEN)),
248
    to_std_logic_vector(to_signed(554,SDLEN)),
249
    to_std_logic_vector(to_signed(379,SDLEN)),
250
    to_std_logic_vector(to_signed(168,SDLEN)),
251
    to_std_logic_vector(to_signed(-61,SDLEN)),
252
    to_std_logic_vector(to_signed(-288,SDLEN)),
253
    to_std_logic_vector(to_signed(-493,SDLEN)),
254
    to_std_logic_vector(to_signed(-656,SDLEN)),
255
    to_std_logic_vector(to_signed(-762,SDLEN)),
256
    to_std_logic_vector(to_signed(-798,SDLEN)),
257
    to_std_logic_vector(to_signed(-760,SDLEN)),
258
    to_std_logic_vector(to_signed(-649,SDLEN)),
259
    to_std_logic_vector(to_signed(-474,SDLEN)),
260
    to_std_logic_vector(to_signed(-251,SDLEN)),
261
    to_std_logic_vector(to_signed(0,SDLEN)),
262
    to_std_logic_vector(to_signed(255,SDLEN)),
263
    to_std_logic_vector(to_signed(488,SDLEN)),
264
    to_std_logic_vector(to_signed(676,SDLEN)),
265
    to_std_logic_vector(to_signed(797,SDLEN)),
266
    to_std_logic_vector(to_signed(839,SDLEN)),
267
    to_std_logic_vector(to_signed(794,SDLEN)),
268
    to_std_logic_vector(to_signed(666,SDLEN)),
269
    to_std_logic_vector(to_signed(465,SDLEN)),
270
    to_std_logic_vector(to_signed(212,SDLEN)),
271
    to_std_logic_vector(to_signed(-67,SDLEN)),
272
    to_std_logic_vector(to_signed(-343,SDLEN)),
273
    to_std_logic_vector(to_signed(-586,SDLEN)),
274
    to_std_logic_vector(to_signed(-767,SDLEN)),
275
    to_std_logic_vector(to_signed(-865,SDLEN)),
276
    to_std_logic_vector(to_signed(-866,SDLEN)),
277
    to_std_logic_vector(to_signed(-769,SDLEN)),
278
    to_std_logic_vector(to_signed(-583,SDLEN)),
279
    to_std_logic_vector(to_signed(-326,SDLEN)),
280
    to_std_logic_vector(to_signed(-27,SDLEN)),
281
    to_std_logic_vector(to_signed(278,SDLEN)),
282
    to_std_logic_vector(to_signed(555,SDLEN)),
283
    to_std_logic_vector(to_signed(769,SDLEN)),
284
    to_std_logic_vector(to_signed(892,SDLEN)),
285
    to_std_logic_vector(to_signed(908,SDLEN)),
286
    to_std_logic_vector(to_signed(812,SDLEN)),
287
    to_std_logic_vector(to_signed(614,SDLEN)),
288
    to_std_logic_vector(to_signed(336,SDLEN)),
289
    to_std_logic_vector(to_signed(11,SDLEN)),
290
    to_std_logic_vector(to_signed(-318,SDLEN)),
291
    to_std_logic_vector(to_signed(-611,SDLEN)),
292
    to_std_logic_vector(to_signed(-829,SDLEN)),
293
    to_std_logic_vector(to_signed(-941,SDLEN)),
294
    to_std_logic_vector(to_signed(-929,SDLEN)),
295
    to_std_logic_vector(to_signed(-794,SDLEN)),
296
    to_std_logic_vector(to_signed(-550,SDLEN)),
297
    to_std_logic_vector(to_signed(-228,SDLEN)),
298
    to_std_logic_vector(to_signed(128,SDLEN)),
299
    to_std_logic_vector(to_signed(472,SDLEN)),
300
    to_std_logic_vector(to_signed(754,SDLEN)),
301
    to_std_logic_vector(to_signed(934,SDLEN)),
302
    to_std_logic_vector(to_signed(-24141,SDLEN)),
303
    to_std_logic_vector(to_signed(16505,SDLEN)),
304
    to_std_logic_vector(to_signed(-28462,SDLEN)),
305
    to_std_logic_vector(to_signed(-16873,SDLEN)),
306
    to_std_logic_vector(to_signed(20964,SDLEN)),
307
    to_std_logic_vector(to_signed(985,SDLEN)),
308
    to_std_logic_vector(to_signed(895,SDLEN)),
309
    to_std_logic_vector(to_signed(676,SDLEN)),
310
    to_std_logic_vector(to_signed(356,SDLEN)),
311
    to_std_logic_vector(to_signed(-19,SDLEN)),
312
    to_std_logic_vector(to_signed(-397,SDLEN)),
313
    to_std_logic_vector(to_signed(-720,SDLEN)),
314
    to_std_logic_vector(to_signed(-939,SDLEN)),
315
    to_std_logic_vector(to_signed(-1019,SDLEN)),
316
    to_std_logic_vector(to_signed(-946,SDLEN)),
317
    to_std_logic_vector(to_signed(-726,SDLEN)),
318
    to_std_logic_vector(to_signed(-392,SDLEN)),
319
    to_std_logic_vector(to_signed(7,SDLEN)),
320
    to_std_logic_vector(to_signed(410,SDLEN)),
321
    to_std_logic_vector(to_signed(752,SDLEN)),
322
    to_std_logic_vector(to_signed(979,SDLEN)),
323
    to_std_logic_vector(to_signed(1051,SDLEN)),
324
    to_std_logic_vector(to_signed(954,SDLEN)),
325
    to_std_logic_vector(to_signed(700,SDLEN)),
326
    to_std_logic_vector(to_signed(329,SDLEN)),
327
    to_std_logic_vector(to_signed(-101,SDLEN)),
328
    to_std_logic_vector(to_signed(-519,SDLEN)),
329
    to_std_logic_vector(to_signed(-855,SDLEN)),
330
    to_std_logic_vector(to_signed(-1050,SDLEN)),
331
    to_std_logic_vector(to_signed(-1068,SDLEN)),
332
    to_std_logic_vector(to_signed(-903,SDLEN)),
333
    to_std_logic_vector(to_signed(-579,SDLEN)),
334
    to_std_logic_vector(to_signed(-151,SDLEN)),
335
    to_std_logic_vector(to_signed(308,SDLEN)),
336
    to_std_logic_vector(to_signed(718,SDLEN)),
337
    to_std_logic_vector(to_signed(1004,SDLEN)),
338
    to_std_logic_vector(to_signed(1114,SDLEN)),
339
    to_std_logic_vector(to_signed(1023,SDLEN)),
340
    to_std_logic_vector(to_signed(744,SDLEN)),
341
    to_std_logic_vector(to_signed(326,SDLEN)),
342
    to_std_logic_vector(to_signed(-155,SDLEN)),
343
    to_std_logic_vector(to_signed(-614,SDLEN)),
344
    to_std_logic_vector(to_signed(-963,SDLEN)),
345
    to_std_logic_vector(to_signed(-1133,SDLEN)),
346
    to_std_logic_vector(to_signed(-1090,SDLEN)),
347
    to_std_logic_vector(to_signed(-838,SDLEN)),
348
    to_std_logic_vector(to_signed(-421,SDLEN)),
349
    to_std_logic_vector(to_signed(80,SDLEN)),
350
    to_std_logic_vector(to_signed(573,SDLEN)),
351
    to_std_logic_vector(to_signed(957,SDLEN)),
352
    to_std_logic_vector(to_signed(1156,SDLEN)),
353
    to_std_logic_vector(to_signed(1126,SDLEN)),
354
    to_std_logic_vector(to_signed(870,SDLEN)),
355
    to_std_logic_vector(to_signed(434,SDLEN)),
356
    to_std_logic_vector(to_signed(-93,SDLEN)),
357
    to_std_logic_vector(to_signed(-607,SDLEN)),
358
    to_std_logic_vector(to_signed(-1001,SDLEN)),
359
    to_std_logic_vector(to_signed(-1191,SDLEN)),
360
    to_std_logic_vector(to_signed(-1134,SDLEN)),
361
    to_std_logic_vector(to_signed(-837,SDLEN)),
362
    to_std_logic_vector(to_signed(-360,SDLEN)),
363
    to_std_logic_vector(to_signed(198,SDLEN)),
364
    to_std_logic_vector(to_signed(720,SDLEN)),
365
    to_std_logic_vector(to_signed(1091,SDLEN)),
366
    to_std_logic_vector(to_signed(1228,SDLEN)),
367
    to_std_logic_vector(to_signed(1098,SDLEN)),
368
    to_std_logic_vector(to_signed(723,SDLEN)),
369
    to_std_logic_vector(to_signed(185,SDLEN)),
370
    to_std_logic_vector(to_signed(-399,SDLEN)),
371
    to_std_logic_vector(to_signed(-900,SDLEN)),
372
    to_std_logic_vector(to_signed(-1202,SDLEN)),
373
    to_std_logic_vector(to_signed(-1233,SDLEN)),
374
    to_std_logic_vector(to_signed(-982,SDLEN)),
375
    to_std_logic_vector(to_signed(-501,SDLEN)),
376
    to_std_logic_vector(to_signed(99,SDLEN)),
377
    to_std_logic_vector(to_signed(683,SDLEN)),
378
    to_std_logic_vector(to_signed(1112,SDLEN)),
379
    to_std_logic_vector(to_signed(1281,SDLEN)),
380
    to_std_logic_vector(to_signed(1147,SDLEN)),
381
    to_std_logic_vector(to_signed(737,SDLEN)),
382
    to_std_logic_vector(to_signed(146,SDLEN)),
383
    to_std_logic_vector(to_signed(-486,SDLEN)),
384
    to_std_logic_vector(to_signed(-1006,SDLEN)),
385
    to_std_logic_vector(to_signed(-1283,SDLEN)),
386
    to_std_logic_vector(to_signed(-1246,SDLEN)),
387
    to_std_logic_vector(to_signed(-32313,SDLEN)),
388
    to_std_logic_vector(to_signed(-14461,SDLEN)),
389
    to_std_logic_vector(to_signed(-23925,SDLEN)),
390
    to_std_logic_vector(to_signed(-15334,SDLEN)),
391
    to_std_logic_vector(to_signed(15774,SDLEN)),
392
    to_std_logic_vector(to_signed(-899,SDLEN)),
393
    to_std_logic_vector(to_signed(-323,SDLEN)),
394
    to_std_logic_vector(to_signed(338,SDLEN)),
395
    to_std_logic_vector(to_signed(920,SDLEN)),
396
    to_std_logic_vector(to_signed(1273,SDLEN)),
397
    to_std_logic_vector(to_signed(1304,SDLEN)),
398
    to_std_logic_vector(to_signed(998,SDLEN)),
399
    to_std_logic_vector(to_signed(431,SDLEN)),
400
    to_std_logic_vector(to_signed(-253,SDLEN)),
401
    to_std_logic_vector(to_signed(-877,SDLEN)),
402
    to_std_logic_vector(to_signed(-1275,SDLEN)),
403
    to_std_logic_vector(to_signed(-1338,SDLEN)),
404
    to_std_logic_vector(to_signed(-1044,SDLEN)),
405
    to_std_logic_vector(to_signed(-467,SDLEN)),
406
    to_std_logic_vector(to_signed(241,SDLEN)),
407
    to_std_logic_vector(to_signed(890,SDLEN)),
408
    to_std_logic_vector(to_signed(1302,SDLEN)),
409
    to_std_logic_vector(to_signed(1359,SDLEN)),
410
    to_std_logic_vector(to_signed(1040,SDLEN)),
411
    to_std_logic_vector(to_signed(430,SDLEN)),
412
    to_std_logic_vector(to_signed(-305,SDLEN)),
413
    to_std_logic_vector(to_signed(-962,SDLEN)),
414
    to_std_logic_vector(to_signed(-1351,SDLEN)),
415
    to_std_logic_vector(to_signed(-1360,SDLEN)),
416
    to_std_logic_vector(to_signed(-979,SDLEN)),
417
    to_std_logic_vector(to_signed(-313,SDLEN)),
418
    to_std_logic_vector(to_signed(448,SDLEN)),
419
    to_std_logic_vector(to_signed(1086,SDLEN)),
420
    to_std_logic_vector(to_signed(1412,SDLEN)),
421
    to_std_logic_vector(to_signed(1324,SDLEN)),
422
    to_std_logic_vector(to_signed(844,SDLEN)),
423
    to_std_logic_vector(to_signed(108,SDLEN)),
424
    to_std_logic_vector(to_signed(-665,SDLEN)),
425
    to_std_logic_vector(to_signed(-1245,SDLEN)),
426
    to_std_logic_vector(to_signed(-1453,SDLEN)),
427
    to_std_logic_vector(to_signed(-1221,SDLEN)),
428
    to_std_logic_vector(to_signed(-613,SDLEN)),
429
    to_std_logic_vector(to_signed(188,SDLEN)),
430
    to_std_logic_vector(to_signed(938,SDLEN)),
431
    to_std_logic_vector(to_signed(1402,SDLEN)),
432
    to_std_logic_vector(to_signed(1431,SDLEN)),
433
    to_std_logic_vector(to_signed(1011,SDLEN)),
434
    to_std_logic_vector(to_signed(268,SDLEN)),
435
    to_std_logic_vector(to_signed(-566,SDLEN)),
436
    to_std_logic_vector(to_signed(-1226,SDLEN)),
437
    to_std_logic_vector(to_signed(-1498,SDLEN)),
438
    to_std_logic_vector(to_signed(-1288,SDLEN)),
439
    to_std_logic_vector(to_signed(-658,SDLEN)),
440
    to_std_logic_vector(to_signed(190,SDLEN)),
441
    to_std_logic_vector(to_signed(984,SDLEN)),
442
    to_std_logic_vector(to_signed(1459,SDLEN)),
443
    to_std_logic_vector(to_signed(1454,SDLEN)),
444
    to_std_logic_vector(to_signed(965,SDLEN)),
445
    to_std_logic_vector(to_signed(148,SDLEN)),
446
    to_std_logic_vector(to_signed(-724,SDLEN)),
447
    to_std_logic_vector(to_signed(-1358,SDLEN)),
448
    to_std_logic_vector(to_signed(-1536,SDLEN)),
449
    to_std_logic_vector(to_signed(-1189,SDLEN)),
450
    to_std_logic_vector(to_signed(-431,SDLEN)),
451
    to_std_logic_vector(to_signed(480,SDLEN)),
452
    to_std_logic_vector(to_signed(1233,SDLEN)),
453
    to_std_logic_vector(to_signed(1561,SDLEN)),
454
    to_std_logic_vector(to_signed(1344,SDLEN)),
455
    to_std_logic_vector(to_signed(651,SDLEN)),
456
    to_std_logic_vector(to_signed(-277,SDLEN)),
457
    to_std_logic_vector(to_signed(-1114,SDLEN)),
458
    to_std_logic_vector(to_signed(-1559,SDLEN)),
459
    to_std_logic_vector(to_signed(-1446,SDLEN)),
460
    to_std_logic_vector(to_signed(-810,SDLEN)),
461
    to_std_logic_vector(to_signed(125,SDLEN)),
462
    to_std_logic_vector(to_signed(1021,SDLEN)),
463
    to_std_logic_vector(to_signed(1550,SDLEN)),
464
    to_std_logic_vector(to_signed(1510,SDLEN)),
465
    to_std_logic_vector(to_signed(911,SDLEN)),
466
    to_std_logic_vector(to_signed(-31,SDLEN)),
467
    to_std_logic_vector(to_signed(-969,SDLEN)),
468
    to_std_logic_vector(to_signed(-1550,SDLEN)),
469
    to_std_logic_vector(to_signed(-1548,SDLEN)),
470
    to_std_logic_vector(to_signed(-959,SDLEN)),
471
    to_std_logic_vector(to_signed(0,SDLEN))
472
  );
473
 
474
  constant STO_ROM_INIT_DATA : ROM_DATA_T := (
475
    to_std_logic_vector(to_signed(2,SDLEN)),
476
    to_std_logic_vector(to_signed(4,SDLEN)),
477
    to_std_logic_vector(to_signed(3,SDLEN)),
478
    to_std_logic_vector(to_signed(3,SDLEN)),
479
    to_std_logic_vector(to_signed(1,SDLEN)),
480
    to_std_logic_vector(to_signed(-1,SDLEN)),
481
    to_std_logic_vector(to_signed(-1,SDLEN)),
482
    to_std_logic_vector(to_signed(-1,SDLEN)),
483
    to_std_logic_vector(to_signed(-1,SDLEN)),
484
    to_std_logic_vector(to_signed(-1,SDLEN)),
485
    to_std_logic_vector(to_signed(-1,SDLEN)),
486
    to_std_logic_vector(to_signed(-1,SDLEN)),
487
    to_std_logic_vector(to_signed(-1,SDLEN)),
488
    to_std_logic_vector(to_signed(-1,SDLEN)),
489
    to_std_logic_vector(to_signed(-1,SDLEN)),
490
    to_std_logic_vector(to_signed(-1,SDLEN)),
491
    to_std_logic_vector(to_signed(-1,SDLEN)),
492
    to_std_logic_vector(to_signed(-1,SDLEN)),
493
    to_std_logic_vector(to_signed(-1,SDLEN)),
494
    to_std_logic_vector(to_signed(-1,SDLEN)),
495
    to_std_logic_vector(to_signed(-1,SDLEN)),
496
    to_std_logic_vector(to_signed(-1,SDLEN)),
497
    to_std_logic_vector(to_signed(0,SDLEN)),
498
    to_std_logic_vector(to_signed(0,SDLEN)),
499
    to_std_logic_vector(to_signed(0,SDLEN)),
500
    to_std_logic_vector(to_signed(0,SDLEN)),
501
    to_std_logic_vector(to_signed(0,SDLEN)),
502
    to_std_logic_vector(to_signed(0,SDLEN)),
503
    to_std_logic_vector(to_signed(0,SDLEN)),
504
    to_std_logic_vector(to_signed(0,SDLEN)),
505
    to_std_logic_vector(to_signed(0,SDLEN)),
506
    to_std_logic_vector(to_signed(0,SDLEN)),
507
    to_std_logic_vector(to_signed(0,SDLEN)),
508
    to_std_logic_vector(to_signed(0,SDLEN)),
509
    to_std_logic_vector(to_signed(0,SDLEN)),
510
    to_std_logic_vector(to_signed(0,SDLEN)),
511
    to_std_logic_vector(to_signed(0,SDLEN)),
512
    to_std_logic_vector(to_signed(0,SDLEN)),
513
    to_std_logic_vector(to_signed(0,SDLEN)),
514
    to_std_logic_vector(to_signed(0,SDLEN)),
515
    to_std_logic_vector(to_signed(0,SDLEN)),
516
    to_std_logic_vector(to_signed(0,SDLEN)),
517
    to_std_logic_vector(to_signed(0,SDLEN)),
518
    to_std_logic_vector(to_signed(0,SDLEN)),
519
    to_std_logic_vector(to_signed(0,SDLEN)),
520
    to_std_logic_vector(to_signed(0,SDLEN)),
521
    to_std_logic_vector(to_signed(0,SDLEN)),
522
    to_std_logic_vector(to_signed(0,SDLEN)),
523
    to_std_logic_vector(to_signed(0,SDLEN)),
524
    to_std_logic_vector(to_signed(0,SDLEN)),
525
    to_std_logic_vector(to_signed(0,SDLEN)),
526
    to_std_logic_vector(to_signed(0,SDLEN)),
527
    to_std_logic_vector(to_signed(0,SDLEN)),
528
    to_std_logic_vector(to_signed(0,SDLEN)),
529
    to_std_logic_vector(to_signed(0,SDLEN)),
530
    to_std_logic_vector(to_signed(0,SDLEN)),
531
    to_std_logic_vector(to_signed(0,SDLEN)),
532
    to_std_logic_vector(to_signed(0,SDLEN)),
533
    to_std_logic_vector(to_signed(0,SDLEN)),
534
    to_std_logic_vector(to_signed(0,SDLEN)),
535
    to_std_logic_vector(to_signed(0,SDLEN)),
536
    to_std_logic_vector(to_signed(0,SDLEN)),
537
    to_std_logic_vector(to_signed(0,SDLEN)),
538
    to_std_logic_vector(to_signed(0,SDLEN)),
539
    to_std_logic_vector(to_signed(0,SDLEN)),
540
    to_std_logic_vector(to_signed(0,SDLEN)),
541
    to_std_logic_vector(to_signed(0,SDLEN)),
542
    to_std_logic_vector(to_signed(0,SDLEN)),
543
    to_std_logic_vector(to_signed(0,SDLEN)),
544
    to_std_logic_vector(to_signed(15,SDLEN)),
545
    to_std_logic_vector(to_signed(33,SDLEN)),
546
    to_std_logic_vector(to_signed(51,SDLEN)),
547
    to_std_logic_vector(to_signed(51,SDLEN)),
548
    to_std_logic_vector(to_signed(52,SDLEN)),
549
    to_std_logic_vector(to_signed(51,SDLEN)),
550
    to_std_logic_vector(to_signed(51,SDLEN)),
551
    to_std_logic_vector(to_signed(47,SDLEN)),
552
    to_std_logic_vector(to_signed(56,SDLEN)),
553
    to_std_logic_vector(to_signed(50,SDLEN)),
554
    to_std_logic_vector(to_signed(43,SDLEN)),
555
    to_std_logic_vector(to_signed(-5752,SDLEN)),
556
    to_std_logic_vector(to_signed(160,SDLEN)),
557
    to_std_logic_vector(to_signed(250,SDLEN)),
558
    to_std_logic_vector(to_signed(-15681,SDLEN)),
559
    to_std_logic_vector(to_signed(-18462,SDLEN)),
560
    to_std_logic_vector(to_signed(37,SDLEN)),
561
    to_std_logic_vector(to_signed(26,SDLEN)),
562
    to_std_logic_vector(to_signed(19,SDLEN)),
563
    to_std_logic_vector(to_signed(5,SDLEN)),
564
    to_std_logic_vector(to_signed(-5,SDLEN)),
565
    to_std_logic_vector(to_signed(-16,SDLEN)),
566
    to_std_logic_vector(to_signed(-27,SDLEN)),
567
    to_std_logic_vector(to_signed(-38,SDLEN)),
568
    to_std_logic_vector(to_signed(-45,SDLEN)),
569
    to_std_logic_vector(to_signed(-51,SDLEN)),
570
    to_std_logic_vector(to_signed(-54,SDLEN)),
571
    to_std_logic_vector(to_signed(-56,SDLEN)),
572
    to_std_logic_vector(to_signed(-57,SDLEN)),
573
    to_std_logic_vector(to_signed(-56,SDLEN)),
574
    to_std_logic_vector(to_signed(-53,SDLEN)),
575
    to_std_logic_vector(to_signed(-50,SDLEN)),
576
    to_std_logic_vector(to_signed(-75,SDLEN)),
577
    to_std_logic_vector(to_signed(-78,SDLEN)),
578
    to_std_logic_vector(to_signed(-86,SDLEN)),
579
    to_std_logic_vector(to_signed(-85,SDLEN)),
580
    to_std_logic_vector(to_signed(-85,SDLEN)),
581
    to_std_logic_vector(to_signed(-82,SDLEN)),
582
    to_std_logic_vector(to_signed(-100,SDLEN)),
583
    to_std_logic_vector(to_signed(-96,SDLEN)),
584
    to_std_logic_vector(to_signed(-94,SDLEN)),
585
    to_std_logic_vector(to_signed(-116,SDLEN)),
586
    to_std_logic_vector(to_signed(-114,SDLEN)),
587
    to_std_logic_vector(to_signed(-113,SDLEN)),
588
    to_std_logic_vector(to_signed(-131,SDLEN)),
589
    to_std_logic_vector(to_signed(-123,SDLEN)),
590
    to_std_logic_vector(to_signed(-117,SDLEN)),
591
    to_std_logic_vector(to_signed(-102,SDLEN)),
592
    to_std_logic_vector(to_signed(-82,SDLEN)),
593
    to_std_logic_vector(to_signed(-57,SDLEN)),
594
    to_std_logic_vector(to_signed(-30,SDLEN)),
595
    to_std_logic_vector(to_signed(-1,SDLEN)),
596
    to_std_logic_vector(to_signed(25,SDLEN)),
597
    to_std_logic_vector(to_signed(52,SDLEN)),
598
    to_std_logic_vector(to_signed(74,SDLEN)),
599
    to_std_logic_vector(to_signed(94,SDLEN)),
600
    to_std_logic_vector(to_signed(118,SDLEN)),
601
    to_std_logic_vector(to_signed(145,SDLEN)),
602
    to_std_logic_vector(to_signed(171,SDLEN)),
603
    to_std_logic_vector(to_signed(196,SDLEN)),
604
    to_std_logic_vector(to_signed(218,SDLEN)),
605
    to_std_logic_vector(to_signed(240,SDLEN)),
606
    to_std_logic_vector(to_signed(255,SDLEN)),
607
    to_std_logic_vector(to_signed(264,SDLEN)),
608
    to_std_logic_vector(to_signed(267,SDLEN)),
609
    to_std_logic_vector(to_signed(260,SDLEN)),
610
    to_std_logic_vector(to_signed(264,SDLEN)),
611
    to_std_logic_vector(to_signed(254,SDLEN)),
612
    to_std_logic_vector(to_signed(240,SDLEN)),
613
    to_std_logic_vector(to_signed(220,SDLEN)),
614
    to_std_logic_vector(to_signed(214,SDLEN)),
615
    to_std_logic_vector(to_signed(194,SDLEN)),
616
    to_std_logic_vector(to_signed(172,SDLEN)),
617
    to_std_logic_vector(to_signed(145,SDLEN)),
618
    to_std_logic_vector(to_signed(112,SDLEN)),
619
    to_std_logic_vector(to_signed(79,SDLEN)),
620
    to_std_logic_vector(to_signed(38,SDLEN)),
621
    to_std_logic_vector(to_signed(-5,SDLEN)),
622
    to_std_logic_vector(to_signed(-48,SDLEN)),
623
    to_std_logic_vector(to_signed(-90,SDLEN)),
624
    to_std_logic_vector(to_signed(-144,SDLEN)),
625
    to_std_logic_vector(to_signed(-188,SDLEN)),
626
    to_std_logic_vector(to_signed(-244,SDLEN)),
627
    to_std_logic_vector(to_signed(-296,SDLEN)),
628
    to_std_logic_vector(to_signed(-343,SDLEN)),
629
    to_std_logic_vector(to_signed(-381,SDLEN)),
630
    to_std_logic_vector(to_signed(-421,SDLEN)),
631
    to_std_logic_vector(to_signed(-443,SDLEN)),
632
    to_std_logic_vector(to_signed(-450,SDLEN)),
633
    to_std_logic_vector(to_signed(-463,SDLEN)),
634
    to_std_logic_vector(to_signed(-454,SDLEN)),
635
    to_std_logic_vector(to_signed(-428,SDLEN)),
636
    to_std_logic_vector(to_signed(-415,SDLEN)),
637
    to_std_logic_vector(to_signed(-359,SDLEN)),
638
    to_std_logic_vector(to_signed(-303,SDLEN)),
639
    to_std_logic_vector(to_signed(-249,SDLEN)),
640
    to_std_logic_vector(to_signed(-29314,SDLEN)),
641
    to_std_logic_vector(to_signed(6197,SDLEN)),
642
    to_std_logic_vector(to_signed(7439,SDLEN)),
643
    to_std_logic_vector(to_signed(-11681,SDLEN)),
644
    to_std_logic_vector(to_signed(22234,SDLEN)),
645
    to_std_logic_vector(to_signed(-138,SDLEN)),
646
    to_std_logic_vector(to_signed(-34,SDLEN)),
647
    to_std_logic_vector(to_signed(96,SDLEN)),
648
    to_std_logic_vector(to_signed(210,SDLEN)),
649
    to_std_logic_vector(to_signed(310,SDLEN)),
650
    to_std_logic_vector(to_signed(405,SDLEN)),
651
    to_std_logic_vector(to_signed(475,SDLEN)),
652
    to_std_logic_vector(to_signed(521,SDLEN)),
653
    to_std_logic_vector(to_signed(561,SDLEN)),
654
    to_std_logic_vector(to_signed(570,SDLEN)),
655
    to_std_logic_vector(to_signed(558,SDLEN)),
656
    to_std_logic_vector(to_signed(529,SDLEN)),
657
    to_std_logic_vector(to_signed(473,SDLEN)),
658
    to_std_logic_vector(to_signed(405,SDLEN)),
659
    to_std_logic_vector(to_signed(310,SDLEN)),
660
    to_std_logic_vector(to_signed(196,SDLEN)),
661
    to_std_logic_vector(to_signed(92,SDLEN)),
662
    to_std_logic_vector(to_signed(-28,SDLEN)),
663
    to_std_logic_vector(to_signed(-142,SDLEN)),
664
    to_std_logic_vector(to_signed(-246,SDLEN)),
665
    to_std_logic_vector(to_signed(-347,SDLEN)),
666
    to_std_logic_vector(to_signed(-431,SDLEN)),
667
    to_std_logic_vector(to_signed(-505,SDLEN)),
668
    to_std_logic_vector(to_signed(-548,SDLEN)),
669
    to_std_logic_vector(to_signed(-583,SDLEN)),
670
    to_std_logic_vector(to_signed(-586,SDLEN)),
671
    to_std_logic_vector(to_signed(-549,SDLEN)),
672
    to_std_logic_vector(to_signed(-512,SDLEN)),
673
    to_std_logic_vector(to_signed(-437,SDLEN)),
674
    to_std_logic_vector(to_signed(-355,SDLEN)),
675
    to_std_logic_vector(to_signed(-244,SDLEN)),
676
    to_std_logic_vector(to_signed(-120,SDLEN)),
677
    to_std_logic_vector(to_signed(2,SDLEN)),
678
    to_std_logic_vector(to_signed(140,SDLEN)),
679
    to_std_logic_vector(to_signed(264,SDLEN)),
680
    to_std_logic_vector(to_signed(375,SDLEN)),
681
    to_std_logic_vector(to_signed(480,SDLEN)),
682
    to_std_logic_vector(to_signed(551,SDLEN)),
683
    to_std_logic_vector(to_signed(600,SDLEN)),
684
    to_std_logic_vector(to_signed(629,SDLEN)),
685
    to_std_logic_vector(to_signed(573,SDLEN)),
686
    to_std_logic_vector(to_signed(491,SDLEN)),
687
    to_std_logic_vector(to_signed(373,SDLEN)),
688
    to_std_logic_vector(to_signed(225,SDLEN)),
689
    to_std_logic_vector(to_signed(63,SDLEN)),
690
    to_std_logic_vector(to_signed(-103,SDLEN)),
691
    to_std_logic_vector(to_signed(-247,SDLEN)),
692
    to_std_logic_vector(to_signed(-383,SDLEN)),
693
    to_std_logic_vector(to_signed(-468,SDLEN)),
694
    to_std_logic_vector(to_signed(-509,SDLEN)),
695
    to_std_logic_vector(to_signed(-530,SDLEN)),
696
    to_std_logic_vector(to_signed(-510,SDLEN)),
697
    to_std_logic_vector(to_signed(-460,SDLEN)),
698
    to_std_logic_vector(to_signed(-355,SDLEN)),
699
    to_std_logic_vector(to_signed(-229,SDLEN)),
700
    to_std_logic_vector(to_signed(-82,SDLEN)),
701
    to_std_logic_vector(to_signed(74,SDLEN)),
702
    to_std_logic_vector(to_signed(232,SDLEN)),
703
    to_std_logic_vector(to_signed(373,SDLEN)),
704
    to_std_logic_vector(to_signed(502,SDLEN)),
705
    to_std_logic_vector(to_signed(593,SDLEN)),
706
    to_std_logic_vector(to_signed(655,SDLEN)),
707
    to_std_logic_vector(to_signed(670,SDLEN)),
708
    to_std_logic_vector(to_signed(638,SDLEN)),
709
    to_std_logic_vector(to_signed(571,SDLEN)),
710
    to_std_logic_vector(to_signed(455,SDLEN)),
711
    to_std_logic_vector(to_signed(310,SDLEN)),
712
    to_std_logic_vector(to_signed(138,SDLEN)),
713
    to_std_logic_vector(to_signed(-43,SDLEN)),
714
    to_std_logic_vector(to_signed(-221,SDLEN)),
715
    to_std_logic_vector(to_signed(-380,SDLEN)),
716
    to_std_logic_vector(to_signed(-488,SDLEN)),
717
    to_std_logic_vector(to_signed(-555,SDLEN)),
718
    to_std_logic_vector(to_signed(-595,SDLEN)),
719
    to_std_logic_vector(to_signed(-581,SDLEN)),
720
    to_std_logic_vector(to_signed(-521,SDLEN)),
721
    to_std_logic_vector(to_signed(-396,SDLEN)),
722
    to_std_logic_vector(to_signed(-246,SDLEN)),
723
    to_std_logic_vector(to_signed(-72,SDLEN)),
724
    to_std_logic_vector(to_signed(111,SDLEN)),
725
    to_std_logic_vector(to_signed(-24229,SDLEN)),
726
    to_std_logic_vector(to_signed(18148,SDLEN)),
727
    to_std_logic_vector(to_signed(7402,SDLEN)),
728
    to_std_logic_vector(to_signed(25047,SDLEN)),
729
    to_std_logic_vector(to_signed(-10473,SDLEN)),
730
    to_std_logic_vector(to_signed(303,SDLEN)),
731
    to_std_logic_vector(to_signed(451,SDLEN)),
732
    to_std_logic_vector(to_signed(545,SDLEN)),
733
    to_std_logic_vector(to_signed(579,SDLEN)),
734
    to_std_logic_vector(to_signed(534,SDLEN)),
735
    to_std_logic_vector(to_signed(409,SDLEN)),
736
    to_std_logic_vector(to_signed(228,SDLEN)),
737
    to_std_logic_vector(to_signed(10,SDLEN)),
738
    to_std_logic_vector(to_signed(-214,SDLEN)),
739
    to_std_logic_vector(to_signed(-421,SDLEN)),
740
    to_std_logic_vector(to_signed(-569,SDLEN)),
741
    to_std_logic_vector(to_signed(-659,SDLEN)),
742
    to_std_logic_vector(to_signed(-698,SDLEN)),
743
    to_std_logic_vector(to_signed(-674,SDLEN)),
744
    to_std_logic_vector(to_signed(-584,SDLEN)),
745
    to_std_logic_vector(to_signed(-414,SDLEN)),
746
    to_std_logic_vector(to_signed(-184,SDLEN)),
747
    to_std_logic_vector(to_signed(68,SDLEN)),
748
    to_std_logic_vector(to_signed(333,SDLEN)),
749
    to_std_logic_vector(to_signed(566,SDLEN)),
750
    to_std_logic_vector(to_signed(752,SDLEN)),
751
    to_std_logic_vector(to_signed(854,SDLEN)),
752
    to_std_logic_vector(to_signed(868,SDLEN)),
753
    to_std_logic_vector(to_signed(800,SDLEN)),
754
    to_std_logic_vector(to_signed(635,SDLEN)),
755
    to_std_logic_vector(to_signed(398,SDLEN)),
756
    to_std_logic_vector(to_signed(112,SDLEN)),
757
    to_std_logic_vector(to_signed(-183,SDLEN)),
758
    to_std_logic_vector(to_signed(-456,SDLEN)),
759
    to_std_logic_vector(to_signed(-674,SDLEN)),
760
    to_std_logic_vector(to_signed(-800,SDLEN)),
761
    to_std_logic_vector(to_signed(-851,SDLEN)),
762
    to_std_logic_vector(to_signed(-815,SDLEN)),
763
    to_std_logic_vector(to_signed(-686,SDLEN)),
764
    to_std_logic_vector(to_signed(-476,SDLEN)),
765
    to_std_logic_vector(to_signed(-184,SDLEN)),
766
    to_std_logic_vector(to_signed(134,SDLEN)),
767
    to_std_logic_vector(to_signed(445,SDLEN)),
768
    to_std_logic_vector(to_signed(715,SDLEN)),
769
    to_std_logic_vector(to_signed(897,SDLEN)),
770
    to_std_logic_vector(to_signed(944,SDLEN)),
771
    to_std_logic_vector(to_signed(851,SDLEN)),
772
    to_std_logic_vector(to_signed(641,SDLEN)),
773
    to_std_logic_vector(to_signed(351,SDLEN)),
774
    to_std_logic_vector(to_signed(-65,SDLEN)),
775
    to_std_logic_vector(to_signed(-454,SDLEN)),
776
    to_std_logic_vector(to_signed(-807,SDLEN)),
777
    to_std_logic_vector(to_signed(-1051,SDLEN)),
778
    to_std_logic_vector(to_signed(-1156,SDLEN)),
779
    to_std_logic_vector(to_signed(-1087,SDLEN)),
780
    to_std_logic_vector(to_signed(-774,SDLEN)),
781
    to_std_logic_vector(to_signed(-354,SDLEN)),
782
    to_std_logic_vector(to_signed(152,SDLEN)),
783
    to_std_logic_vector(to_signed(637,SDLEN)),
784
    to_std_logic_vector(to_signed(1012,SDLEN)),
785
    to_std_logic_vector(to_signed(1191,SDLEN)),
786
    to_std_logic_vector(to_signed(1161,SDLEN)),
787
    to_std_logic_vector(to_signed(847,SDLEN)),
788
    to_std_logic_vector(to_signed(392,SDLEN)),
789
    to_std_logic_vector(to_signed(-150,SDLEN)),
790
    to_std_logic_vector(to_signed(-646,SDLEN)),
791
    to_std_logic_vector(to_signed(-1006,SDLEN)),
792
    to_std_logic_vector(to_signed(-1141,SDLEN)),
793
    to_std_logic_vector(to_signed(-1046,SDLEN)),
794
    to_std_logic_vector(to_signed(-755,SDLEN)),
795
    to_std_logic_vector(to_signed(-346,SDLEN)),
796
    to_std_logic_vector(to_signed(177,SDLEN)),
797
    to_std_logic_vector(to_signed(626,SDLEN)),
798
    to_std_logic_vector(to_signed(967,SDLEN)),
799
    to_std_logic_vector(to_signed(1133,SDLEN)),
800
    to_std_logic_vector(to_signed(1112,SDLEN)),
801
    to_std_logic_vector(to_signed(909,SDLEN)),
802
    to_std_logic_vector(to_signed(591,SDLEN)),
803
    to_std_logic_vector(to_signed(156,SDLEN)),
804
    to_std_logic_vector(to_signed(-256,SDLEN)),
805
    to_std_logic_vector(to_signed(-612,SDLEN)),
806
    to_std_logic_vector(to_signed(-844,SDLEN)),
807
    to_std_logic_vector(to_signed(-934,SDLEN)),
808
    to_std_logic_vector(to_signed(-870,SDLEN)),
809
    to_std_logic_vector(to_signed(-616,SDLEN)),
810
    to_std_logic_vector(to_signed(-24141,SDLEN)),
811
    to_std_logic_vector(to_signed(16505,SDLEN)),
812
    to_std_logic_vector(to_signed(-28462,SDLEN)),
813
    to_std_logic_vector(to_signed(-16873,SDLEN)),
814
    to_std_logic_vector(to_signed(20964,SDLEN)),
815
    to_std_logic_vector(to_signed(-220,SDLEN)),
816
    to_std_logic_vector(to_signed(225,SDLEN)),
817
    to_std_logic_vector(to_signed(618,SDLEN)),
818
    to_std_logic_vector(to_signed(854,SDLEN)),
819
    to_std_logic_vector(to_signed(909,SDLEN)),
820
    to_std_logic_vector(to_signed(738,SDLEN)),
821
    to_std_logic_vector(to_signed(394,SDLEN)),
822
    to_std_logic_vector(to_signed(-60,SDLEN)),
823
    to_std_logic_vector(to_signed(-498,SDLEN)),
824
    to_std_logic_vector(to_signed(-846,SDLEN)),
825
    to_std_logic_vector(to_signed(-1033,SDLEN)),
826
    to_std_logic_vector(to_signed(-1018,SDLEN)),
827
    to_std_logic_vector(to_signed(-818,SDLEN)),
828
    to_std_logic_vector(to_signed(-484,SDLEN)),
829
    to_std_logic_vector(to_signed(-59,SDLEN)),
830
    to_std_logic_vector(to_signed(367,SDLEN)),
831
    to_std_logic_vector(to_signed(721,SDLEN)),
832
    to_std_logic_vector(to_signed(932,SDLEN)),
833
    to_std_logic_vector(to_signed(977,SDLEN)),
834
    to_std_logic_vector(to_signed(837,SDLEN)),
835
    to_std_logic_vector(to_signed(554,SDLEN)),
836
    to_std_logic_vector(to_signed(146,SDLEN)),
837
    to_std_logic_vector(to_signed(-288,SDLEN)),
838
    to_std_logic_vector(to_signed(-685,SDLEN)),
839
    to_std_logic_vector(to_signed(-947,SDLEN)),
840
    to_std_logic_vector(to_signed(-1035,SDLEN)),
841
    to_std_logic_vector(to_signed(-918,SDLEN)),
842
    to_std_logic_vector(to_signed(-615,SDLEN)),
843
    to_std_logic_vector(to_signed(-182,SDLEN)),
844
    to_std_logic_vector(to_signed(305,SDLEN)),
845
    to_std_logic_vector(to_signed(729,SDLEN)),
846
    to_std_logic_vector(to_signed(1004,SDLEN)),
847
    to_std_logic_vector(to_signed(1050,SDLEN)),
848
    to_std_logic_vector(to_signed(874,SDLEN)),
849
    to_std_logic_vector(to_signed(502,SDLEN)),
850
    to_std_logic_vector(to_signed(13,SDLEN)),
851
    to_std_logic_vector(to_signed(-483,SDLEN)),
852
    to_std_logic_vector(to_signed(-863,SDLEN)),
853
    to_std_logic_vector(to_signed(-1063,SDLEN)),
854
    to_std_logic_vector(to_signed(-1037,SDLEN)),
855
    to_std_logic_vector(to_signed(-665,SDLEN)),
856
    to_std_logic_vector(to_signed(-124,SDLEN)),
857
    to_std_logic_vector(to_signed(447,SDLEN)),
858
    to_std_logic_vector(to_signed(880,SDLEN)),
859
    to_std_logic_vector(to_signed(1065,SDLEN)),
860
    to_std_logic_vector(to_signed(932,SDLEN)),
861
    to_std_logic_vector(to_signed(529,SDLEN)),
862
    to_std_logic_vector(to_signed(-39,SDLEN)),
863
    to_std_logic_vector(to_signed(-614,SDLEN)),
864
    to_std_logic_vector(to_signed(-1032,SDLEN)),
865
    to_std_logic_vector(to_signed(-1167,SDLEN)),
866
    to_std_logic_vector(to_signed(-985,SDLEN)),
867
    to_std_logic_vector(to_signed(-527,SDLEN)),
868
    to_std_logic_vector(to_signed(105,SDLEN)),
869
    to_std_logic_vector(to_signed(728,SDLEN)),
870
    to_std_logic_vector(to_signed(1176,SDLEN)),
871
    to_std_logic_vector(to_signed(1306,SDLEN)),
872
    to_std_logic_vector(to_signed(1079,SDLEN)),
873
    to_std_logic_vector(to_signed(572,SDLEN)),
874
    to_std_logic_vector(to_signed(-88,SDLEN)),
875
    to_std_logic_vector(to_signed(-723,SDLEN)),
876
    to_std_logic_vector(to_signed(-1162,SDLEN)),
877
    to_std_logic_vector(to_signed(-1280,SDLEN)),
878
    to_std_logic_vector(to_signed(-1073,SDLEN)),
879
    to_std_logic_vector(to_signed(-575,SDLEN)),
880
    to_std_logic_vector(to_signed(86,SDLEN)),
881
    to_std_logic_vector(to_signed(727,SDLEN)),
882
    to_std_logic_vector(to_signed(1173,SDLEN)),
883
    to_std_logic_vector(to_signed(1319,SDLEN)),
884
    to_std_logic_vector(to_signed(1113,SDLEN)),
885
    to_std_logic_vector(to_signed(610,SDLEN)),
886
    to_std_logic_vector(to_signed(-56,SDLEN)),
887
    to_std_logic_vector(to_signed(-705,SDLEN)),
888
    to_std_logic_vector(to_signed(-1155,SDLEN)),
889
    to_std_logic_vector(to_signed(-1279,SDLEN)),
890
    to_std_logic_vector(to_signed(-1024,SDLEN)),
891
    to_std_logic_vector(to_signed(-485,SDLEN)),
892
    to_std_logic_vector(to_signed(209,SDLEN)),
893
    to_std_logic_vector(to_signed(862,SDLEN)),
894
    to_std_logic_vector(to_signed(1280,SDLEN)),
895
    to_std_logic_vector(to_signed(-32313,SDLEN)),
896
    to_std_logic_vector(to_signed(-14461,SDLEN)),
897
    to_std_logic_vector(to_signed(-23925,SDLEN)),
898
    to_std_logic_vector(to_signed(-15334,SDLEN)),
899
    to_std_logic_vector(to_signed(15774,SDLEN))
900
  );
901
 
902
end G729A_CODEC_ST_ROM_PKG;
903
 
904
package body G729A_CODEC_ST_ROM_PKG is
905
 
906
  -- empty package body
907
 
908
end G729A_CODEC_ST_ROM_PKG;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.