OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [VHDL/] [SELF_TEST/] [G729A_codec_st_roms.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2013 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- G.729a Codec Self-Test Input data ROM
30
---------------------------------------------------------------
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34
use ieee.numeric_std.all;
35
use std.textio.all;
36
 
37
library work;
38
use work.G729A_CODEC_ST_ROM_PKG.all;
39
 
40
entity G729A_ASIP_STI_ROM is
41
  generic(
42
    WCOUNT : natural := 425;
43
    DATA_WIDTH : natural := 16;
44
    ADDR_WIDTH : natural := 9
45
  );
46
  port(
47
    CLK_i : in std_logic;
48
    A_i : in unsigned(ADDR_WIDTH-1 downto 0);
49
    Q_o : out std_logic_vector(DATA_WIDTH-1 downto 0)
50
  );
51
end G729A_ASIP_STI_ROM;
52
 
53
architecture ARC of G729A_ASIP_STI_ROM is
54
 
55
  constant ROM_MEM : ROM_DATA_T := STI_ROM_INIT_DATA;
56
 
57
begin
58
 
59
  process(CLK_i)
60
  begin
61
    if(CLK_i = '1' and CLK_i'event) then
62
      Q_o <= ROM_MEM(to_integer(A_i));
63
    end if;
64
  end process;
65
 
66
end ARC;
67
 
68
---------------------------------------------------------------
69
-- G.729a Codec Self-Test Output data ROM
70
---------------------------------------------------------------
71
 
72
library ieee;
73
use ieee.std_logic_1164.all;
74
use ieee.numeric_std.all;
75
use std.textio.all;
76
 
77
library work;
78
use work.G729A_CODEC_ST_ROM_PKG.all;
79
 
80
entity G729A_ASIP_STO_ROM is
81
  generic(
82
    WCOUNT : natural := 425;
83
    DATA_WIDTH : natural := 16;
84
    ADDR_WIDTH : natural := 9
85
  );
86
  port(
87
    CLK_i : in std_logic;
88
    A_i : in unsigned(ADDR_WIDTH-1 downto 0);
89
    Q_o : out std_logic_vector(DATA_WIDTH-1 downto 0)
90
  );
91
end G729A_ASIP_STO_ROM;
92
 
93
architecture ARC of G729A_ASIP_STO_ROM is
94
 
95
  constant ROM_MEM : ROM_DATA_T := STO_ROM_INIT_DATA;
96
 
97
begin
98
 
99
  process(CLK_i)
100
  begin
101
    if(CLK_i = '1' and CLK_i'event) then
102
      Q_o <= ROM_MEM(to_integer(A_i));
103
    end if;
104
  end process;
105
 
106
end ARC;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.