URL
https://opencores.org/ocsvn/gamepads/gamepads/trunk
[/] [gamepads/] [trunk/] [snespad/] [rtl/] [vhdl/] [snespad_comp-pack.vhd] - Blame information for rev 41
Details |
Compare with Previous |
View Log
Line No. |
Rev |
Author |
Line |
1 |
6 |
arniml |
-------------------------------------------------------------------------------
|
2 |
|
|
--
|
3 |
|
|
-- SNESpad controller core
|
4 |
|
|
--
|
5 |
|
|
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
|
6 |
|
|
--
|
7 |
41 |
arniml |
-- $Id: snespad_comp-pack.vhd 41 2009-04-01 19:58:04Z arniml $
|
8 |
6 |
arniml |
--
|
9 |
|
|
-------------------------------------------------------------------------------
|
10 |
|
|
|
11 |
|
|
library ieee;
|
12 |
|
|
use ieee.std_logic_1164.all;
|
13 |
|
|
|
14 |
|
|
package snespad_comp is
|
15 |
|
|
|
16 |
|
|
component snespad
|
17 |
|
|
generic (
|
18 |
|
|
num_pads_g : natural := 1;
|
19 |
|
|
reset_level_g : natural := 0;
|
20 |
|
|
button_level_g : natural := 0;
|
21 |
|
|
clocks_per_6us_g : natural := 6
|
22 |
|
|
);
|
23 |
|
|
port (
|
24 |
|
|
clk_i : in std_logic;
|
25 |
|
|
reset_i : in std_logic;
|
26 |
|
|
pad_clk_o : out std_logic;
|
27 |
|
|
pad_latch_o : out std_logic;
|
28 |
|
|
pad_data_i : in std_logic_vector(num_pads_g-1 downto 0);
|
29 |
|
|
but_a_o : out std_logic_vector(num_pads_g-1 downto 0);
|
30 |
|
|
but_b_o : out std_logic_vector(num_pads_g-1 downto 0);
|
31 |
|
|
but_x_o : out std_logic_vector(num_pads_g-1 downto 0);
|
32 |
|
|
but_y_o : out std_logic_vector(num_pads_g-1 downto 0);
|
33 |
|
|
but_start_o : out std_logic_vector(num_pads_g-1 downto 0);
|
34 |
|
|
but_sel_o : out std_logic_vector(num_pads_g-1 downto 0);
|
35 |
|
|
but_tl_o : out std_logic_vector(num_pads_g-1 downto 0);
|
36 |
|
|
but_tr_o : out std_logic_vector(num_pads_g-1 downto 0);
|
37 |
|
|
but_up_o : out std_logic_vector(num_pads_g-1 downto 0);
|
38 |
|
|
but_down_o : out std_logic_vector(num_pads_g-1 downto 0);
|
39 |
|
|
but_left_o : out std_logic_vector(num_pads_g-1 downto 0);
|
40 |
|
|
but_right_o : out std_logic_vector(num_pads_g-1 downto 0)
|
41 |
|
|
);
|
42 |
|
|
end component snespad;
|
43 |
|
|
|
44 |
|
|
end snespad_comp;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.