OpenCores
URL https://opencores.org/ocsvn/gbiteth/gbiteth/trunk

Subversion Repositories gbiteth

[/] [gbiteth/] [trunk/] [rtl/] [rgmii/] [eth_ddr_out.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 axuan25268
-- megafunction wizard: %ALTDDIO_OUT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: ALTDDIO_OUT 
5
 
6
-- ============================================================
7
-- File Name: eth_ddr_out.vhd
8
-- Megafunction Name(s):
9
--                      ALTDDIO_OUT
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 12.0 Build 178 05/31/2012 SJ Full Version
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2012 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.altera_mf_components.all;
41
 
42
ENTITY eth_ddr_out IS
43
        PORT
44
        (
45
                datain_h                : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
46
                datain_l                : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
47
                outclock                : IN STD_LOGIC ;
48
                dataout         : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
49
        );
50
END eth_ddr_out;
51
 
52
 
53
ARCHITECTURE SYN OF eth_ddr_out IS
54
 
55
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (4 DOWNTO 0);
56
 
57
BEGIN
58
        dataout    <= sub_wire0(4 DOWNTO 0);
59
 
60
        ALTDDIO_OUT_component : ALTDDIO_OUT
61
        GENERIC MAP (
62
                extend_oe_disable => "OFF",
63
                intended_device_family => "Cyclone IV E",
64
                invert_output => "OFF",
65
                lpm_hint => "UNUSED",
66
                lpm_type => "altddio_out",
67
                oe_reg => "UNREGISTERED",
68
                power_up_high => "OFF",
69
                width => 5
70
        )
71
        PORT MAP (
72
                datain_h => datain_h,
73
                datain_l => datain_l,
74
                outclock => outclock,
75
                dataout => sub_wire0
76
        );
77
 
78
 
79
 
80
END SYN;
81
 
82
-- ============================================================
83
-- CNX file retrieval info
84
-- ============================================================
85
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
86
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
87
-- Retrieval info: CONSTANT: EXTEND_OE_DISABLE STRING "OFF"
88
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
89
-- Retrieval info: CONSTANT: INVERT_OUTPUT STRING "OFF"
90
-- Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED"
91
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_out"
92
-- Retrieval info: CONSTANT: OE_REG STRING "UNREGISTERED"
93
-- Retrieval info: CONSTANT: POWER_UP_HIGH STRING "OFF"
94
-- Retrieval info: CONSTANT: WIDTH NUMERIC "5"
95
-- Retrieval info: USED_PORT: datain_h 0 0 5 0 INPUT NODEFVAL "datain_h[4..0]"
96
-- Retrieval info: CONNECT: @datain_h 0 0 5 0 datain_h 0 0 5 0
97
-- Retrieval info: USED_PORT: datain_l 0 0 5 0 INPUT NODEFVAL "datain_l[4..0]"
98
-- Retrieval info: CONNECT: @datain_l 0 0 5 0 datain_l 0 0 5 0
99
-- Retrieval info: USED_PORT: dataout 0 0 5 0 OUTPUT NODEFVAL "dataout[4..0]"
100
-- Retrieval info: CONNECT: dataout 0 0 5 0 @dataout 0 0 5 0
101
-- Retrieval info: USED_PORT: outclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL "outclock"
102
-- Retrieval info: CONNECT: @outclock 0 0 0 0 outclock 0 0 0 0
103
-- Retrieval info: GEN_FILE: TYPE_NORMAL eth_ddr_out.vhd TRUE FALSE
104
-- Retrieval info: GEN_FILE: TYPE_NORMAL eth_ddr_out.qip TRUE FALSE
105
-- Retrieval info: GEN_FILE: TYPE_NORMAL eth_ddr_out.bsf FALSE TRUE
106
-- Retrieval info: GEN_FILE: TYPE_NORMAL eth_ddr_out_inst.vhd FALSE TRUE
107
-- Retrieval info: GEN_FILE: TYPE_NORMAL eth_ddr_out.inc FALSE TRUE
108
-- Retrieval info: GEN_FILE: TYPE_NORMAL eth_ddr_out.cmp FALSE TRUE
109
-- Retrieval info: GEN_FILE: TYPE_NORMAL eth_ddr_out.ppf TRUE FALSE
110
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.