OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_defines.vhd] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 nussgipfel
--  GECKO3COM IP Core
2
--
3
--  Copyright (C) 2009 by
4
--   ___    ___   _   _
5
--  (  _ \ (  __)( ) ( )
6
--  | (_) )| (   | |_| |   Bern University of Applied Sciences
7
--  |  _ < |  _) |  _  |   School of Engineering and
8
--  | (_) )| |   | | | |   Information Technology
9
--  (____/ (_)   (_) (_)
10
--
11
--  This program is free software: you can redistribute it and/or modify
12
--  it under the terms of the GNU General Public License as published by
13
--  the Free Software Foundation, either version 3 of the License, or
14
--  (at your option) any later version.
15
--
16
--  This program is distributed in the hope that it will be useful,
17
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--  GNU General Public License for more details. 
20
--  You should have received a copy of the GNU General Public License
21
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
22
--
23
--  URL to the project description: 
24
--    http://labs.ti.bfh.ch/gecko/wiki/systems/gecko3com/start
25 11 nussgipfel
----------------------------------------------------------------------------------
26
--
27 14 nussgipfel
--  Author:  Andreas Habegger
28
--  Date of creation: 8. April 2009
29
--  Description:
30
--      Common definitions file for the GECKO3com IP core
31 11 nussgipfel
--
32 14 nussgipfel
--  Target Devices:     Xilinx Spartan3 FPGA's (usage of BlockRam in the Datapath)
33
--  Tool versions:      11.1
34
--  Dependencies:
35 11 nussgipfel
--
36 14 nussgipfel
----------------------------------------------------------------------------------
37
 
38 11 nussgipfel
library IEEE;
39
use IEEE.STD_LOGIC_1164.ALL;
40
use IEEE.STD_LOGIC_ARITH.ALL;
41
use IEEE.STD_LOGIC_UNSIGNED.ALL;
42
 
43
---- Uncomment the following library declaration if instantiating
44
---- any Xilinx primitives in this code.
45
library UNISIM;
46
use UNISIM.VComponents.all;
47
 
48
library XilinxCoreLib;
49
 
50
 
51 14 nussgipfel
package GECKO3COM_defines is
52 11 nussgipfel
 
53
 -- constants
54 12 nussgipfel
  constant SIZE_DBUS_GPIF       : INTEGER := 16;  -- SIZE in bit
55
  constant SIZE_DBUS_FPGA       : INTEGER := 32;  -- SIZE in bit
56
  constant SETUP_TIME           : INTEGER := 10;  -- setuptime for FIFO value between 0 and 15
57
  constant BYTE                         : INTEGER := 8;
58 11 nussgipfel
 
59 12 nussgipfel
  constant NUMBER_OF_SW         : INTEGER := 4;
60 11 nussgipfel
 
61
 
62 12 nussgipfel
 -- types  
63
 
64
 
65
 
66
 
67
 
68
 
69
 
70 14 nussgipfel
end GECKO3COM_defines;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.