OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_fsm.vhd] - Blame information for rev 26

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 nussgipfel
--  GECKO3COM IP Core
2
--
3
--  Copyright (C) 2009 by
4
--   ___    ___   _   _
5
--  (  _ \ (  __)( ) ( )
6
--  | (_) )| (   | |_| |   Bern University of Applied Sciences
7
--  |  _ < |  _) |  _  |   School of Engineering and
8
--  | (_) )| |   | | | |   Information Technology
9
--  (____/ (_)   (_) (_)
10
--
11
--  This program is free software: you can redistribute it and/or modify
12
--  it under the terms of the GNU General Public License as published by
13
--  the Free Software Foundation, either version 3 of the License, or
14
--  (at your option) any later version.
15
--
16
--  This program is distributed in the hope that it will be useful,
17
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--  GNU General Public License for more details. 
20
--  You should have received a copy of the GNU General Public License
21
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
22
--
23
--  URL to the project description: 
24
--    http://labs.ti.bfh.ch/gecko/wiki/systems/gecko3com/start
25
--------------------------------------------------------------------------------
26
--
27
--  Author:  Christoph Zimmermann
28
--  Date of creation:  3 february 2010 
29
--  Description:
30
--      This is the finite-state-mashine for the GECKO3com simple IP core.
31
--   
32
--      This core provides a simple FIFO and register interface to the
33
--      USB data transfer capabilities of the GECKO3COM/GECKO3main system.
34
--
35 23 nussgipfel
--      Look at GECKO3COM_simple_test.vhd for an example how to use it.
36 22 nussgipfel
--
37
--  Target Devices:     general
38
--  Tool versions:      11.1
39
--
40
--------------------------------------------------------------------------------
41
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.all;
44
use IEEE.STD_LOGIC_ARITH.all;
45
use IEEE.STD_LOGIC_UNSIGNED.all;
46
 
47
library work;
48
use work.GECKO3COM_defines.all;
49
 
50
 
51
entity GECKO3COM_simple_fsm is
52
 
53
  port (
54
    i_nReset                     : in  std_logic;
55
    i_sysclk                     : in  std_logic;
56
    o_receive_fifo_wr_en         : out std_logic;
57
    i_receive_fifo_full          : in  std_logic;
58
    o_receive_fifo_reset         : out std_logic;
59
    o_receive_transfersize_en    : out std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
60 26 nussgipfel
    i_receive_transfersize_lsb   : in  std_logic;
61 22 nussgipfel
    o_receive_counter_load       : out std_logic;
62
    o_receive_counter_en         : out std_logic;
63
    i_receive_counter_zero       : in  std_logic;
64
    i_dev_dep_msg_out            : in  std_logic;
65
    i_request_dev_dep_msg_in     : in  std_logic;
66
    o_btag_reg_en                : out std_logic;
67
    o_nbtag_reg_en               : out std_logic;
68
    i_btag_correct               : in  std_logic;
69
    i_eom_bit_detected           : in  std_logic;
70
    i_send_transfersize_en       : in  std_logic;
71
    o_send_fifo_rd_en            : out std_logic;
72
    i_send_fifo_empty            : in  std_logic;
73
    o_send_fifo_reset            : out std_logic;
74
    o_send_counter_load          : out std_logic;
75
    o_send_counter_en            : out std_logic;
76
    i_send_counter_zero          : in  std_logic;
77
    o_send_mux_sel               : out std_logic_vector(2 downto 0);
78
    o_send_finished              : out std_logic;
79
    o_receive_newdata_set        : out std_logic;
80
    o_receive_end_of_message_set : out std_logic;
81
    o_send_data_request_set      : out std_logic;
82
    i_gpif_rx                    : in  std_logic;
83
    i_gpif_rx_empty              : in  std_logic;
84
    o_gpif_rx_rd_en              : out std_logic;
85
    i_gpif_tx                    : in  std_logic;
86
    i_gpif_tx_full               : in  std_logic;
87
    o_gpif_tx_wr_en              : out std_logic;
88
    i_gpif_abort                 : in  std_logic;
89
    o_gpif_eom                   : out std_logic);
90
 
91
end GECKO3COM_simple_fsm;
92
 
93
 
94
architecture fsm of GECKO3COM_simple_fsm is
95
 
96
  -- XST specific synthesize attributes
97
  attribute safe_implementation : string;
98
  attribute safe_recovery_state : string;
99
 
100 26 nussgipfel
  type   state_type is (st1_idle, st2_abort, st3_read_msg_id, st4_check_msg_id,
101
                        st5_read_nbtag, st6_read_transfer_size_low,
102 22 nussgipfel
                        st7_read_transfer_size_high, st8_check_attributes,
103
                        st9_signal_data_request, st10_signal_receive_new_data,
104
                        st11_receive_data, st12_receive_wait,
105
                        st13_wait_for_receive_end, st14_read_align_bytes,
106
                        st15_start_response, st16_send_msg_id,
107
                        st17_send_nbtag, st18_send_transfer_size_low,
108
                        st19_send_transfer_size_high, st20_send_attributes,
109 26 nussgipfel
                        st21_send_reserved, st22_send_data, st23_send_wait,
110 22 nussgipfel
                        st24_wait_for_send_end);
111
  signal state, next_state : state_type;
112
 
113
  -- XST specific synthesize attributes
114
  attribute safe_recovery_state of state : signal is "st1_idle";
115
  attribute safe_implementation of state : signal is "yes";
116
 
117
  --Declare internal signals for all outputs of the state-machine
118
  signal s_receive_fifo_wr_en         : std_logic;
119
  signal s_receive_fifo_reset         : std_logic;
120
  signal s_receive_transfersize_en    : std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
121
  signal s_receive_counter_load       : std_logic;
122
  signal s_receive_counter_en         : std_logic;
123
  signal s_btag_reg_en                : std_logic;
124
  signal s_nbtag_reg_en               : std_logic;
125
  signal s_send_fifo_rd_en            : std_logic;
126
  signal s_send_fifo_reset            : std_logic;
127
  signal s_send_counter_load          : std_logic;
128
  signal s_send_counter_en            : std_logic;
129
  signal s_send_mux_sel               : std_logic_vector(2 downto 0);
130
  signal s_send_finished              : std_logic;
131
  signal s_receive_newdata_set        : std_logic;
132
  signal s_receive_end_of_message_set : std_logic;
133
  signal s_send_data_request_set      : std_logic;
134
  signal s_gpif_eom                   : std_logic;
135
  signal s_gpif_rx_rd_en              : std_logic;
136
  signal s_gpif_tx_wr_en              : std_logic;
137
 
138
 
139
begin  -- fsm
140 26 nussgipfel
 
141
  o_receive_fifo_wr_en         <= s_receive_fifo_wr_en;
142
  o_receive_fifo_reset         <= s_receive_fifo_reset;
143
  o_receive_transfersize_en    <= s_receive_transfersize_en;
144
  o_receive_counter_load       <= s_receive_counter_load;
145
  o_receive_counter_en         <= s_receive_counter_en;
146
  o_btag_reg_en                <= s_btag_reg_en;
147
  o_nbtag_reg_en               <= s_nbtag_reg_en;
148
  o_send_fifo_rd_en            <= s_send_fifo_rd_en;
149
  o_send_fifo_reset            <= s_send_fifo_reset;
150
  o_send_counter_load          <= s_send_counter_load;
151
  o_send_counter_en            <= s_send_counter_en;
152
  o_send_mux_sel               <= s_send_mux_sel;
153
  o_send_finished              <= s_send_finished;
154
  o_receive_newdata_set        <= s_receive_newdata_set;
155
  o_receive_end_of_message_set <= s_receive_end_of_message_set;
156
  o_send_data_request_set      <= s_send_data_request_set;
157
  o_gpif_eom                   <= s_gpif_eom;
158
  o_gpif_rx_rd_en              <= s_gpif_rx_rd_en;
159
  o_gpif_tx_wr_en              <= s_gpif_tx_wr_en;
160
 
161 22 nussgipfel
 
162
  SYNC_PROC : process (i_sysclk)
163
  begin
164
    if (i_sysclk'event and i_sysclk = '1') then
165
      if (i_nReset = '0') then
166
        state <= st1_idle;
167
      else
168
        state <= next_state;
169
      end if;
170
    end if;
171
  end process;
172
 
173
  --MEALY State-Machine - Outputs based on state and inputs
174
  OUTPUT_DECODE : process (state, i_receive_fifo_full,
175
                           i_receive_counter_zero, i_dev_dep_msg_out,
176 26 nussgipfel
                           i_request_dev_dep_msg_in, --i_btag_correct,
177 22 nussgipfel
                           i_eom_bit_detected, i_send_transfersize_en,
178
                           i_send_fifo_empty, i_send_counter_zero,
179
                           i_gpif_rx, i_gpif_rx_empty, i_gpif_tx,
180 26 nussgipfel
                           i_gpif_tx_full, i_gpif_abort,
181
                           i_receive_transfersize_lsb)
182 22 nussgipfel
  begin
183
 
184
    s_receive_fifo_wr_en         <= '0';
185
    s_receive_fifo_reset         <= '0';
186
    s_receive_transfersize_en    <= (others => '0');
187
    s_receive_counter_load       <= '0';
188
    s_receive_counter_en         <= '0';
189
    s_btag_reg_en                <= '0';
190
    s_nbtag_reg_en               <= '0';
191
    s_send_fifo_rd_en            <= '0';
192
    s_send_fifo_reset            <= '0';
193
    s_send_counter_load          <= '0';
194
    s_send_counter_en            <= '0';
195
    s_send_mux_sel               <= (others => '0');
196 24 nussgipfel
    s_send_finished              <= '0';
197 22 nussgipfel
    s_receive_newdata_set        <= '0';
198
    s_receive_end_of_message_set <= '0';
199
    s_send_data_request_set      <= '0';
200
    s_gpif_eom                   <= '0';
201
    s_gpif_rx_rd_en              <= '0';
202
    s_gpif_tx_wr_en              <= '0';
203
 
204
    if state = st11_receive_data then
205
      s_receive_fifo_wr_en <= '1';
206
    end if;
207
 
208
    if state = st2_abort then
209
      s_receive_fifo_reset <= '1';
210
    end if;
211
 
212
    if state = st6_read_transfer_size_low then
213
      s_receive_transfersize_en <= "01";
214
    elsif state = st7_read_transfer_size_high then
215
      s_receive_transfersize_en <= "10";
216
    end if;
217
 
218 26 nussgipfel
    if state = st8_check_attributes and
219
      i_dev_dep_msg_out = '1' and
220
      i_gpif_rx_empty = '0'
221
    then
222 22 nussgipfel
      s_receive_counter_load <= '1';
223
    end if;
224
 
225
    if (state = st10_signal_receive_new_data and
226
        i_gpif_rx_empty = '0' and
227 26 nussgipfel
        i_receive_fifo_full = '0' and
228
        i_receive_transfersize_lsb = '0')  -- if it is '1' then we have to read
229
                                           -- one time more from the fifo (which
230
                                           -- is 16bit wide)
231
      or (state = st11_receive_data and
232
          i_receive_counter_zero = '0' and
233
          i_gpif_rx_empty = '0' and
234
          i_receive_fifo_full = '0')
235 22 nussgipfel
      or (state = st12_receive_wait and
236
          i_gpif_rx_empty = '0' and
237 26 nussgipfel
          i_receive_fifo_full = '0')
238 22 nussgipfel
    then
239
      s_receive_counter_en <= '1';
240
    end if;
241
 
242
    if state = st3_read_msg_id then
243
      s_btag_reg_en <= '1';
244
    end if;
245
 
246 26 nussgipfel
    if state = st5_read_nbtag then
247 22 nussgipfel
      s_nbtag_reg_en <= '1';
248
    end if;
249
 
250 26 nussgipfel
    if (state = st21_send_reserved and
251 22 nussgipfel
        i_gpif_tx_full = '0' and
252
        i_send_fifo_empty = '0')
253 26 nussgipfel
      or (state = st22_send_data and
254
          i_gpif_tx_full = '0' and
255
          i_send_fifo_empty = '0' and
256
          i_send_counter_zero = '0')
257 22 nussgipfel
      or (state = st23_send_wait and
258
          i_gpif_tx_full = '0' and
259
          i_send_fifo_empty = '0')
260
    then
261
      s_send_fifo_rd_en <= '1';
262
    end if;
263
 
264 23 nussgipfel
    if state = st2_abort or state = st24_wait_for_send_end then
265 22 nussgipfel
      s_send_fifo_reset <= '1';
266
    end if;
267
 
268 26 nussgipfel
    if state = st20_send_attributes then
269 22 nussgipfel
      s_send_counter_load <= '1';
270
    end if;
271
 
272 26 nussgipfel
    if (state = st21_send_reserved and i_gpif_tx_full = '0' and
273
        i_send_fifo_empty = '0')
274
      or (state = st22_send_data and
275
          i_gpif_tx_full = '0' and
276
          i_send_fifo_empty = '0' and
277
          i_send_counter_zero = '0')
278
      or (state = st23_send_wait and
279
          i_gpif_tx_full = '0' and
280
          i_send_fifo_empty = '0')
281 22 nussgipfel
    then
282
      s_send_counter_en <= '1';
283
    end if;
284
 
285
    if state = st16_send_msg_id then
286
      s_send_mux_sel <= "000";
287
    elsif state = st17_send_nbtag then
288
      s_send_mux_sel <= "001";
289
    elsif state =st18_send_transfer_size_low then
290
      s_send_mux_sel <= "010";
291
    elsif state = st19_send_transfer_size_high then
292
      s_send_mux_sel <= "011";
293
    elsif state = st20_send_attributes then
294
      s_send_mux_sel <= "100";
295 26 nussgipfel
    elsif state = st21_send_reserved then
296 22 nussgipfel
      s_send_mux_sel <= "101";
297 26 nussgipfel
    elsif state = st22_send_data or state = st23_send_wait then
298
      s_send_mux_sel <= "110";
299 22 nussgipfel
    end if;
300
 
301
    if state = st24_wait_for_send_end and i_gpif_tx = '0' then
302 24 nussgipfel
      s_send_finished <= '1';
303 22 nussgipfel
    end if;
304
 
305
    if state = st10_signal_receive_new_data then
306
      s_receive_newdata_set <= '1';
307
    end if;
308
 
309
    if state = st8_check_attributes and i_eom_bit_detected = '1' then
310
      s_receive_end_of_message_set <= '1';
311
    end if;
312
 
313
    if state = st9_signal_data_request then
314
      s_send_data_request_set <= '1';
315
    end if;
316 24 nussgipfel
 
317 26 nussgipfel
    if (state = st22_send_data and i_send_counter_zero = '1')
318
      or state = st24_wait_for_send_end
319
    then
320 22 nussgipfel
      s_gpif_eom <= '1';
321
    end if;
322
 
323
    if (i_gpif_rx_empty = '0' and
324
        (state = st1_idle or
325 26 nussgipfel
         state = st5_read_nbtag or
326 22 nussgipfel
         state = st6_read_transfer_size_low or
327
         state = st7_read_transfer_size_high or
328
         state = st8_check_attributes))
329 26 nussgipfel
      or (state = st4_check_msg_id and
330
          i_gpif_rx_empty = '0' and
331
          (i_dev_dep_msg_out = '1' or i_request_dev_dep_msg_in = '1'))
332 22 nussgipfel
      or ((state = st10_signal_receive_new_data or state = st12_receive_wait)
333
          and i_gpif_rx_empty = '0' and i_receive_fifo_full = '0')
334 26 nussgipfel
      or (state = st11_receive_data and
335
          i_receive_counter_zero = '0' and
336
          i_gpif_rx_empty = '0' and
337
          i_receive_fifo_full = '0')
338
      or (state = st12_receive_wait and
339
          i_gpif_rx_empty = '0' and
340
          i_receive_fifo_full = '0')
341 22 nussgipfel
      or (state = st14_read_align_bytes and i_gpif_rx_empty = '0')
342
    then
343
      s_gpif_rx_rd_en <= '1';
344
    end if;
345
 
346
    if (i_gpif_tx_full = '0' and
347
        (state = st16_send_msg_id or
348
         state = st17_send_nbtag or
349
         state = st18_send_transfer_size_low or
350
         state = st19_send_transfer_size_high or
351
         state = st20_send_attributes or
352 26 nussgipfel
         state = st21_send_reserved))
353 22 nussgipfel
      or state = st22_send_data
354
    then
355
      s_gpif_tx_wr_en <= '1';
356
    end if;
357
  end process;
358
 
359
  NEXT_STATE_DECODE : process (state, i_receive_fifo_full,
360
                               i_receive_counter_zero, i_dev_dep_msg_out,
361
                               i_request_dev_dep_msg_in, i_btag_correct,
362
                               i_eom_bit_detected, i_send_transfersize_en,
363
                               i_send_fifo_empty, i_send_counter_zero,
364
                               i_gpif_rx, i_gpif_rx_empty, i_gpif_tx,
365
                               i_gpif_tx_full, i_gpif_abort)
366
  begin
367
    --declare default state for next_state to avoid latches
368
    next_state <= state;                --default is to stay in current state
369
 
370
    case (state) is
371
      when st1_idle =>
372
        if i_gpif_abort = '1' then
373
          next_state <= st2_abort;
374
        elsif i_gpif_rx_empty = '0' then
375
          next_state <= st3_read_msg_id;
376
        end if;
377
 
378
      when st2_abort =>
379
        next_state <= st1_idle;
380
 
381
      when st3_read_msg_id =>
382 26 nussgipfel
        next_state <= st4_check_msg_id;
383
 
384
      when st4_check_msg_id =>
385 22 nussgipfel
        if i_gpif_abort = '1' then
386
          next_state <= st2_abort;
387 26 nussgipfel
        elsif i_dev_dep_msg_out = '0' and i_request_dev_dep_msg_in = '0' then
388
          next_state <= st1_idle;
389
        elsif i_gpif_rx_empty = '0' and
390
          (i_dev_dep_msg_out = '1' or i_request_dev_dep_msg_in = '1')
391
        then
392
          next_state <= st5_read_nbtag;
393 22 nussgipfel
        end if;
394
 
395 26 nussgipfel
      when st5_read_nbtag =>
396 22 nussgipfel
        if i_gpif_abort = '1' then
397
          next_state <= st2_abort;
398
        elsif i_gpif_rx_empty = '0' then
399 26 nussgipfel
          next_state <= st6_read_transfer_size_low;
400 22 nussgipfel
        end if;
401
 
402 26 nussgipfel
      when st6_read_transfer_size_low =>
403 22 nussgipfel
        if i_gpif_abort = '1' then
404
          next_state <= st2_abort;
405
        elsif i_btag_correct = '0' then
406
          next_state <= st1_idle;
407 26 nussgipfel
        elsif i_gpif_rx_empty = '0' and i_btag_correct = '1' then
408 22 nussgipfel
          next_state <= st7_read_transfer_size_high;
409
        end if;
410
 
411
      when st7_read_transfer_size_high =>
412
        if i_gpif_abort = '1' then
413
          next_state <= st2_abort;
414
        elsif i_gpif_rx_empty = '0' then
415
          next_state <= st8_check_attributes;
416
        end if;
417
 
418
      when st8_check_attributes =>
419
        if i_gpif_abort = '1' then
420
          next_state <= st2_abort;
421 26 nussgipfel
        elsif i_dev_dep_msg_out = '0' and i_request_dev_dep_msg_in = '0' then
422
          next_state <= st1_idle;
423 22 nussgipfel
        elsif i_gpif_rx_empty = '0' and i_request_dev_dep_msg_in = '1' then
424
          next_state <= st9_signal_data_request;
425
        elsif i_gpif_rx_empty = '0' and i_dev_dep_msg_out = '1' then
426
          next_state <= st10_signal_receive_new_data;
427
        end if;
428
 
429
      when st9_signal_data_request =>
430
        if i_gpif_abort = '1' then
431
          next_state <= st2_abort;
432
        elsif i_send_transfersize_en = '1' then
433
          next_state <= st15_start_response;
434
        end if;
435
 
436
      when st10_signal_receive_new_data =>
437
        if i_gpif_abort = '1' then
438
          next_state <= st2_abort;
439
        elsif i_gpif_rx_empty = '0' and i_receive_fifo_full = '0' then
440
          next_state <= st11_receive_data;
441
        end if;
442
 
443
      when st11_receive_data =>
444
        if i_gpif_abort = '1' then
445
          next_state <= st2_abort;
446
        elsif i_receive_counter_zero = '1' then
447 26 nussgipfel
          --next_state <= st13_wait_for_receive_end;
448
          next_state <= st1_idle;
449 22 nussgipfel
        elsif  i_gpif_rx_empty = '1' or i_receive_fifo_full = '1' then
450
          next_state <= st12_receive_wait;
451
        end if;
452
 
453
      when st12_receive_wait =>
454
        if i_gpif_abort = '1' then
455
          next_state <= st2_abort;
456
        elsif  i_gpif_rx_empty = '0' and i_receive_fifo_full = '0' then
457
          next_state <= st11_receive_data;
458
        end if;
459
 
460
      when st13_wait_for_receive_end =>
461
        if i_gpif_abort = '1' then
462
          next_state <= st2_abort;
463
        elsif i_gpif_rx = '0' then
464
          next_state <= st14_read_align_bytes;
465
        end if;
466
 
467
      when st14_read_align_bytes =>
468
        if i_gpif_abort = '1' then
469
          next_state <= st2_abort;
470
        elsif i_gpif_rx_empty = '1' then
471
          next_state <= st1_idle;
472
        end if;
473
 
474
      when st15_start_response =>
475
        if i_gpif_abort = '1' then
476
          next_state <= st2_abort;
477
        elsif i_gpif_tx_full = '0' then
478
          next_state <= st16_send_msg_id;
479
        end if;
480
 
481
      when st16_send_msg_id =>
482
        if i_gpif_abort = '1' then
483
          next_state <= st2_abort;
484
        elsif i_gpif_tx_full = '0' then
485
          next_state <= st17_send_nbtag;
486
        end if;
487
 
488
      when st17_send_nbtag =>
489
        if i_gpif_abort = '1' then
490
          next_state <= st2_abort;
491
        elsif i_gpif_tx_full = '0' then
492
          next_state <= st18_send_transfer_size_low;
493
        end if;
494
 
495
      when st18_send_transfer_size_low =>
496
        if i_gpif_abort = '1' then
497
          next_state <= st2_abort;
498
        elsif i_gpif_tx_full = '0' then
499
          next_state <= st19_send_transfer_size_high;
500
        end if;
501
 
502
      when st19_send_transfer_size_high =>
503
        if i_gpif_abort = '1' then
504
          next_state <= st2_abort;
505
        elsif i_gpif_tx_full = '0' then
506
          next_state <= st20_send_attributes;
507
        end if;
508
 
509
      when st20_send_attributes =>
510
        if i_gpif_abort = '1' then
511
          next_state <= st2_abort;
512
        elsif i_gpif_tx_full = '0' then
513 26 nussgipfel
          next_state <= st21_send_reserved;
514 22 nussgipfel
        end if;
515
 
516 26 nussgipfel
      when st21_send_reserved =>
517 22 nussgipfel
        if i_gpif_abort = '1' then
518
          next_state <= st2_abort;
519
        elsif i_gpif_tx_full = '0' and i_send_fifo_empty = '0' then
520
          next_state <= st22_send_data;
521
        end if;
522
 
523
      when st22_send_data =>
524
        if i_gpif_abort = '1' then
525
          next_state <= st2_abort;
526
        elsif i_send_counter_zero = '1' then
527
          next_state <= st24_wait_for_send_end;
528
        elsif i_gpif_tx_full = '1' or i_send_fifo_empty = '1' then
529
          next_state <= st23_send_wait;
530
        end if;
531
 
532
      when st23_send_wait =>
533
        if i_gpif_abort = '1' then
534
          next_state <= st2_abort;
535
        elsif i_gpif_tx_full = '0' and i_send_fifo_empty = '0' then
536
          next_state <= st22_send_data;
537
        end if;
538
 
539
      when st24_wait_for_send_end =>
540
        if i_gpif_abort = '1' then
541
          next_state <= st2_abort;
542
        elsif i_gpif_tx = '0' then
543
          next_state <= st1_idle;
544
        end if;
545
 
546
      when others =>
547
        next_state <= st1_idle;
548
    end case;
549
  end process;
550
 
551
end fsm;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.