OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.cdc] - Blame information for rev 26

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 nussgipfel
#ChipScope Core Inserter Project File Version 3.0
2
#Fri Feb 19 13:36:39 CET 2010
3
Project.device.designInputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
4
Project.device.designOutputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
5
Project.device.deviceFamily=6
6
Project.device.enableRPMs=true
7
Project.device.outputDirectory=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/_ngo
8
Project.device.useSRL16=true
9
Project.filter.dimension=1
10
Project.filter<0>=
11
Project.icon.boundaryScanChain=1
12
Project.icon.disableBUFGInsertion=false
13
Project.icon.enableExtTriggerIn=false
14
Project.icon.enableExtTriggerOut=false
15
Project.icon.triggerInPinName=
16
Project.icon.triggerOutPinName=
17
Project.unit.dimension=1
18
Project.unit<0>.clockChannel=i_sysclk_BUFGP
19
Project.unit<0>.clockEdge=Rising
20
Project.unit<0>.dataChannel<0>=GECKO3COM_simple_1 i_nReset
21
Project.unit<0>.dataChannel<100>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_9
22
Project.unit<0>.dataChannel<101>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_10
23
Project.unit<0>.dataChannel<102>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_11
24
Project.unit<0>.dataChannel<103>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_12
25
Project.unit<0>.dataChannel<104>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_13
26
Project.unit<0>.dataChannel<105>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_14
27
Project.unit<0>.dataChannel<106>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_15
28
Project.unit<0>.dataChannel<107>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_16
29
Project.unit<0>.dataChannel<108>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_17
30
Project.unit<0>.dataChannel<109>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_18
31
Project.unit<0>.dataChannel<10>=GECKO3COM_simple_1 s_btag_correct
32
Project.unit<0>.dataChannel<110>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_19
33
Project.unit<0>.dataChannel<111>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_20
34
Project.unit<0>.dataChannel<112>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_21
35
Project.unit<0>.dataChannel<113>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_22
36
Project.unit<0>.dataChannel<114>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_23
37
Project.unit<0>.dataChannel<115>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_24
38
Project.unit<0>.dataChannel<116>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_25
39
Project.unit<0>.dataChannel<117>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_26
40
Project.unit<0>.dataChannel<118>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_27
41
Project.unit<0>.dataChannel<119>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_28
42
Project.unit<0>.dataChannel<11>=GECKO3COM_simple_1 s_btag_reg_en
43
Project.unit<0>.dataChannel<120>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_29
44
Project.unit<0>.dataChannel<121>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_30
45
Project.unit<0>.dataChannel<122>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<0>
46
Project.unit<0>.dataChannel<123>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<1>
47
Project.unit<0>.dataChannel<124>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<2>
48
Project.unit<0>.dataChannel<125>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<3>
49
Project.unit<0>.dataChannel<126>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<4>
50
Project.unit<0>.dataChannel<127>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<5>
51
Project.unit<0>.dataChannel<128>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<6>
52
Project.unit<0>.dataChannel<129>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<7>
53
Project.unit<0>.dataChannel<12>=GECKO3COM_simple_1 s_dev_dep_msg_out
54
Project.unit<0>.dataChannel<130>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<0>
55
Project.unit<0>.dataChannel<131>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<1>
56
Project.unit<0>.dataChannel<132>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<2>
57
Project.unit<0>.dataChannel<133>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<3>
58
Project.unit<0>.dataChannel<134>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<4>
59
Project.unit<0>.dataChannel<135>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<5>
60
Project.unit<0>.dataChannel<136>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<6>
61
Project.unit<0>.dataChannel<137>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<7>
62
Project.unit<0>.dataChannel<138>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<0>
63
Project.unit<0>.dataChannel<139>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<1>
64
Project.unit<0>.dataChannel<13>=GECKO3COM_simple_1 s_eom_bit_detected
65
Project.unit<0>.dataChannel<140>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<2>
66
Project.unit<0>.dataChannel<141>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<3>
67
Project.unit<0>.dataChannel<142>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<4>
68
Project.unit<0>.dataChannel<143>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<5>
69
Project.unit<0>.dataChannel<144>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<6>
70
Project.unit<0>.dataChannel<145>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<7>
71
Project.unit<0>.dataChannel<14>=GECKO3COM_simple_1 s_gpif_abort
72
Project.unit<0>.dataChannel<15>=GECKO3COM_simple_1 s_gpif_eom
73
Project.unit<0>.dataChannel<16>=GECKO3COM_simple_1 s_gpif_rx_empty
74
Project.unit<0>.dataChannel<17>=GECKO3COM_simple_1 s_gpif_rx_rd_en
75
Project.unit<0>.dataChannel<18>=GECKO3COM_simple_1 s_gpif_tx_full
76
Project.unit<0>.dataChannel<19>=GECKO3COM_simple_1 s_gpif_tx_wr_en
77
Project.unit<0>.dataChannel<1>=GECKO3COM_simple_1 i_receive_fifo_rd_en
78
Project.unit<0>.dataChannel<20>=GECKO3COM_simple_1 s_nbtag_reg_en
79
Project.unit<0>.dataChannel<21>=GECKO3COM_simple_1 s_receive_counter_en
80
Project.unit<0>.dataChannel<22>=GECKO3COM_simple_1 s_receive_counter_load
81
Project.unit<0>.dataChannel<23>=GECKO3COM_simple_1 s_receive_counter_zero
82
Project.unit<0>.dataChannel<24>=GECKO3COM_simple_1 s_receive_end_of_message_set
83
Project.unit<0>.dataChannel<25>=GECKO3COM_simple_1 s_receive_fifo_full
84
Project.unit<0>.dataChannel<26>=GECKO3COM_simple_1 s_receive_fifo_reset
85
Project.unit<0>.dataChannel<27>=GECKO3COM_simple_1 s_receive_fifo_wr_en
86
Project.unit<0>.dataChannel<28>=GECKO3COM_simple_1 s_receive_newdata_set
87
Project.unit<0>.dataChannel<29>=GECKO3COM_simple_1 s_receive_transfersize_en<0>
88
Project.unit<0>.dataChannel<2>=GECKO3COM_simple_1 i_send_fifo_wr_en
89
Project.unit<0>.dataChannel<30>=GECKO3COM_simple_1 s_receive_transfersize_en<1>
90
Project.unit<0>.dataChannel<31>=GECKO3COM_simple_1 s_request_dev_dep_msg_in
91
Project.unit<0>.dataChannel<32>=GECKO3COM_simple_1 s_send_counter_en
92
Project.unit<0>.dataChannel<33>=GECKO3COM_simple_1 s_send_counter_load
93
Project.unit<0>.dataChannel<34>=GECKO3COM_simple_1 s_send_counter_zero
94
Project.unit<0>.dataChannel<35>=GECKO3COM_simple_1 s_send_data_request_set
95
Project.unit<0>.dataChannel<36>=GECKO3COM_simple_1 s_send_fifo_empty
96
Project.unit<0>.dataChannel<37>=GECKO3COM_simple_1 s_send_fifo_rd_en
97
Project.unit<0>.dataChannel<38>=GECKO3COM_simple_1 s_send_fifo_reset
98
Project.unit<0>.dataChannel<39>=GECKO3COM_simple_1 s_send_mux_sel<0>
99
Project.unit<0>.dataChannel<3>=GECKO3COM_simple_1 i_send_have_more_data
100
Project.unit<0>.dataChannel<40>=GECKO3COM_simple_1 s_send_mux_sel<1>
101
Project.unit<0>.dataChannel<41>=GECKO3COM_simple_1 s_send_mux_sel<2>
102
Project.unit<0>.dataChannel<42>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd1
103
Project.unit<0>.dataChannel<43>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd2
104
Project.unit<0>.dataChannel<44>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd3
105
Project.unit<0>.dataChannel<45>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd4
106
Project.unit<0>.dataChannel<46>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd5
107
Project.unit<0>.dataChannel<47>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd6
108
Project.unit<0>.dataChannel<48>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd7
109
Project.unit<0>.dataChannel<49>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd8
110
Project.unit<0>.dataChannel<4>=GECKO3COM_simple_1 o_receive_end_of_message
111
Project.unit<0>.dataChannel<50>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd9
112
Project.unit<0>.dataChannel<51>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd10
113
Project.unit<0>.dataChannel<52>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd11
114
Project.unit<0>.dataChannel<53>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd12
115
Project.unit<0>.dataChannel<54>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd13
116
Project.unit<0>.dataChannel<55>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd14
117
Project.unit<0>.dataChannel<56>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd15
118
Project.unit<0>.dataChannel<57>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd16
119
Project.unit<0>.dataChannel<58>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd17
120
Project.unit<0>.dataChannel<59>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd18
121
Project.unit<0>.dataChannel<5>=GECKO3COM_simple_1 o_receive_fifo_empty
122
Project.unit<0>.dataChannel<60>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd19
123
Project.unit<0>.dataChannel<61>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd20
124
Project.unit<0>.dataChannel<62>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd21
125
Project.unit<0>.dataChannel<63>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd22
126
Project.unit<0>.dataChannel<64>=s_send_have_more_data
127
Project.unit<0>.dataChannel<65>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 v_receive_fifo_empty_old
128
Project.unit<0>.dataChannel<66>=GECKO3COM_simple_1 o_receive_transfersize<31>
129
Project.unit<0>.dataChannel<67>=GECKO3COM_simple_1 o_receive_transfersize<3>
130
Project.unit<0>.dataChannel<68>=GECKO3COM_simple_1 o_receive_transfersize<4>
131
Project.unit<0>.dataChannel<69>=GECKO3COM_simple_1 o_receive_transfersize<5>
132
Project.unit<0>.dataChannel<6>=GECKO3COM_simple_1 o_receive_newdata
133
Project.unit<0>.dataChannel<70>=GECKO3COM_simple_1 o_receive_transfersize<6>
134
Project.unit<0>.dataChannel<71>=GECKO3COM_simple_1 o_receive_transfersize<7>
135
Project.unit<0>.dataChannel<72>=GECKO3COM_simple_1 o_receive_transfersize<8>
136
Project.unit<0>.dataChannel<73>=GECKO3COM_simple_1 o_receive_transfersize<9>
137
Project.unit<0>.dataChannel<74>=GECKO3COM_simple_1 s_gpif_rx_data<0>
138
Project.unit<0>.dataChannel<75>=GECKO3COM_simple_1 s_gpif_rx_data<10>
139
Project.unit<0>.dataChannel<76>=GECKO3COM_simple_1 s_gpif_rx_data<11>
140
Project.unit<0>.dataChannel<77>=GECKO3COM_simple_1 s_gpif_rx_data<12>
141
Project.unit<0>.dataChannel<78>=GECKO3COM_simple_1 s_gpif_rx_data<13>
142
Project.unit<0>.dataChannel<79>=GECKO3COM_simple_1 s_gpif_rx_data<14>
143
Project.unit<0>.dataChannel<7>=GECKO3COM_simple_1 o_send_data_request
144
Project.unit<0>.dataChannel<80>=GECKO3COM_simple_1 s_gpif_rx_data<15>
145
Project.unit<0>.dataChannel<81>=GECKO3COM_simple_1 s_gpif_rx_data<1>
146
Project.unit<0>.dataChannel<82>=GECKO3COM_simple_1 s_gpif_rx_data<2>
147
Project.unit<0>.dataChannel<83>=GECKO3COM_simple_1 s_gpif_rx_data<3>
148
Project.unit<0>.dataChannel<84>=GECKO3COM_simple_1 s_gpif_rx_data<4>
149
Project.unit<0>.dataChannel<85>=GECKO3COM_simple_1 s_gpif_rx_data<5>
150
Project.unit<0>.dataChannel<86>=GECKO3COM_simple_1 s_gpif_rx_data<6>
151
Project.unit<0>.dataChannel<87>=GECKO3COM_simple_1 s_gpif_rx_data<7>
152
Project.unit<0>.dataChannel<88>=GECKO3COM_simple_1 s_gpif_rx_data<8>
153
Project.unit<0>.dataChannel<89>=GECKO3COM_simple_1 s_gpif_rx_data<9>
154
Project.unit<0>.dataChannel<8>=GECKO3COM_simple_1 o_send_fifo_full
155
Project.unit<0>.dataChannel<90>=GECKO3COM_simple_1 o_receive_transfersize<0>
156
Project.unit<0>.dataChannel<91>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_0
157
Project.unit<0>.dataChannel<92>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_1
158
Project.unit<0>.dataChannel<93>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_2
159
Project.unit<0>.dataChannel<94>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_3
160
Project.unit<0>.dataChannel<95>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_4
161
Project.unit<0>.dataChannel<96>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_5
162
Project.unit<0>.dataChannel<97>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_6
163
Project.unit<0>.dataChannel<98>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_7
164
Project.unit<0>.dataChannel<99>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_8
165
Project.unit<0>.dataChannel<9>=GECKO3COM_simple_1 o_send_finished
166
Project.unit<0>.dataDepth=512
167
Project.unit<0>.dataEqualsTrigger=false
168
Project.unit<0>.dataPortWidth=146
169
Project.unit<0>.enableGaps=false
170
Project.unit<0>.enableStorageQualification=true
171
Project.unit<0>.enableTimestamps=false
172
Project.unit<0>.timestampDepth=0
173
Project.unit<0>.timestampWidth=0
174
Project.unit<0>.triggerChannel<0><0>=GECKO3COM_simple_1 s_dev_dep_msg_out
175
Project.unit<0>.triggerChannel<0><10>=s_send_transfersize_en
176
Project.unit<0>.triggerChannel<0><11>=s_transfer_size_reg_en
177
Project.unit<0>.triggerChannel<0><1>=GECKO3COM_simple_1 s_btag_correct
178
Project.unit<0>.triggerChannel<0><2>=GECKO3COM_simple_1 s_request_dev_dep_msg_in
179
Project.unit<0>.triggerChannel<0><3>=GECKO3COM_simple_1 s_gpif_rx_rd_en
180
Project.unit<0>.triggerChannel<0><4>=GECKO3COM_simple_1 s_btag_reg_en
181
Project.unit<0>.triggerChannel<0><5>=GECKO3COM_simple_1 s_gpif_abort
182
Project.unit<0>.triggerChannel<0><6>=s_send_data_request
183
Project.unit<0>.triggerChannel<0><7>=s_send_fifo_full
184
Project.unit<0>.triggerChannel<0><8>=s_send_fifo_wr_en
185
Project.unit<0>.triggerChannel<0><9>=s_send_have_more_data
186
Project.unit<0>.triggerConditionCountWidth=0
187
Project.unit<0>.triggerMatchCount<0>=2
188
Project.unit<0>.triggerMatchCountWidth<0><0>=0
189
Project.unit<0>.triggerMatchCountWidth<0><1>=0
190
Project.unit<0>.triggerMatchType<0><0>=0
191
Project.unit<0>.triggerMatchType<0><1>=0
192
Project.unit<0>.triggerPortCount=1
193
Project.unit<0>.triggerPortIsData<0>=true
194
Project.unit<0>.triggerPortWidth<0>=12
195
Project.unit<0>.triggerSequencerLevels=16
196
Project.unit<0>.triggerSequencerType=1
197
Project.unit<0>.type=ilapro

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.