OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3main_prototype.ucf] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 nussgipfel
#  GECKO3COM IP Core
2
#
3
#  Copyright (C) 2009 by
4
#   ___    ___   _   _
5
#  (  _ \ (  __)( ) ( )
6
#  | (_) )| (   | |_| |   Bern University of Applied Sciences
7
#  |  _ < |  _) |  _  |   School of Engineering and
8
#  | (_) )| |   | | | |   Information Technology
9
#  (____/ (_)   (_) (_)
10
#
11
#  This program is free software: you can redistribute it and/or modify
12
#  it under the terms of the GNU General Public License as published by
13
#  the Free Software Foundation, either version 3 of the License, or
14
#  (at your option) any later version.
15
#
16
#  This program is distributed in the hope that it will be useful,
17
#  but WITHOUT ANY WARRANTY; without even the implied warranty of
18
#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
#  GNU General Public License for more details.
20
#  You should have received a copy of the GNU General Public License
21
#  along with this program.  If not, see .
22
#
23
#  URL to the project description:
24
#    http://labs.ti.bfh.ch/gecko/wiki/systems/gecko3com/start
25
#-----------------------------------------------------------------------------
26
#
27
#  Author:  Andreas Habegger, Christoph Zimmermann
28
#  Date of creation: 8. April 2009
29
#  Description:
30
#       This is the pinning and contraints file for the GECKO3main Prototype 1
31
#
32
#  Target Devices:      Xilinx Spartan3 XC3S1500 FPGA's
33
#  Tool versions:       11.1
34
#  Dependencies:                GECKO3main module prototype 1
35
#
36
#-----------------------------------------------------------------------------
37
 
38
# connection clk and rst
39
net "i_nReset" loc = "AE24";
40
 
41
net "i_SYSCLK"          loc = "AF14";
42 18 nussgipfel
#net "i_SYSCLK"         loc = "AA11"; # IFCLK, to test as a synchronus system
43
#net "i_SYSCLK" CLOCK_DEDICATED_ROUTE = FALSE; # also needed when IFCLK used as SYSCLK
44 14 nussgipfel
net "i_SYSCLK" tnm_net = "SYSCLK";
45 28 nussgipfel
timespec "TS_SYSCLK" = period "SYSCLK" 15.0 ns HIGH 50%; # 50 MHz system clock
46 14 nussgipfel
 
47
net "i_IFCLK"  loc = "AA11";
48
net "i_IFCLK" CLOCK_DEDICATED_ROUTE = FALSE;
49
net "i_IFCLK" tnm_net = "IFCLK";
50 28 nussgipfel
timespec "TS_IFCLK" = period "IFCLK" 15.0 ns HIGH 50%; # 48 MHz interface clock
51 14 nussgipfel
 
52
# connection of controll bus signals
53
net "i_WRU"    loc = "AC5";
54
net "i_RDYU"   loc = "AB5";
55
net "o_WRX"    loc = "AC14";
56
net "o_RDYX"   loc = "AD14";
57
 
58
# connection of data bus signals
59 18 nussgipfel
net "b_gpif_bus<0>"  loc = "AA12";
60
net "b_gpif_bus<1>"  loc = "AB12";
61
net "b_gpif_bus<2>"  loc = "AB13";
62
net "b_gpif_bus<3>"  loc = "AC13";
63
net "b_gpif_bus<4>"  loc = "AA14";
64
net "b_gpif_bus<5>"  loc = "Y14";
65
net "b_gpif_bus<6>"  loc = "W14";
66
net "b_gpif_bus<7>"  loc = "Y15";
67
net "b_gpif_bus<8>"  loc = "AE7";
68
net "b_gpif_bus<9>"  loc = "Y13";
69
net "b_gpif_bus<10>" loc = "W13";
70
net "b_gpif_bus<11>" loc = "AF7";
71
net "b_gpif_bus<12>" loc = "AF13";
72
net "b_gpif_bus<13>" loc = "AD12";
73
net "b_gpif_bus<14>" loc = "Y12";
74
net "b_gpif_bus<15>" loc = "W12";
75 14 nussgipfel
 
76
 
77
# switches
78 23 nussgipfel
net "i_mode_switch<0>" loc = "C5";
79
net "i_mode_switch<1>" loc = "D5";
80
net "i_mode_switch<2>" loc = "E5";
81
#net "i_mode_switch<3>" loc = "C4";
82 14 nussgipfel
 
83
# LEDs
84
 
85
net "o_LEDrx" loc = "C6"; # LED0, green
86
net "o_LEDtx" loc = "F7"; # LED1, red
87
net "o_LEDrun"loc = "D7"; # LED2, green
88
 
89 18 nussgipfel
# dummy output, only needed for the gpif_com_test
90
net "o_dummy" loc = "AF16";
91 14 nussgipfel
 
92
 
93 18 nussgipfel
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.