OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [USB_TMC_IP_tb.udo] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 nussgipfel
-- ProjNav VHDL simulation template: USB_TMC_IP_tb.udo
2
-- You may edit this file after the line that starts with
3
-- '-- START' to customize your simulation
4
-- START user-defined simulation commands
5
-- ProjNav VHDL simulation template: usb_tmc_ip_tb.udo
6
-- You may edit this file after the line that starts with
7
-- '-- START' to customize your simulation
8
-- START user-defined simulation commands
9
delete wave *
10
onerror {resume}
11
quietly WaveActivateNextPane {} 0
12
add wave -noupdate -color Magenta -format Logic -itemcolor Magenta -label CLK /usb_tmc_ip_tb/sim_clk
13
add wave -noupdate -color Cyan -format Logic -itemcolor Cyan -label RST /usb_tmc_ip_tb/sim_rst
14
add wave -noupdate -format Logic -label {SIM_1 -> FLAG} /usb_tmc_ip_tb/sim_1
15
add wave -noupdate -color {Spring Green} -format Logic -itemcolor {Spring Green} -label WRU /usb_tmc_ip_tb/wru
16
add wave -noupdate -color {Spring Green} -format Logic -itemcolor {Spring Green} -label RDYU /usb_tmc_ip_tb/rdyu
17
add wave -noupdate -color Gold -format Logic -itemcolor Gold -label WRX /usb_tmc_ip_tb/wrx
18
add wave -noupdate -color Gold -format Logic -itemcolor Gold -label RDYX /usb_tmc_ip_tb/rdyx
19
add wave -noupdate -divider {DATA GPIF}
20
add wave -noupdate -format Literal -label DBUS -radix hexadecimal /usb_tmc_ip_tb/data_bus
21
add wave -noupdate -divider {GPIO FSM}
22
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label PR_STATE /usb_tmc_ip_tb/dut/fsm_gpif/pr_state
23
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label NX_STATE /usb_tmc_ip_tb/dut/fsm_gpif/nx_state
24
add wave -noupdate -divider {FPGA DATA BUSES}
25
add wave -noupdate -format Literal -label DBUS_FIFO_IN -radix hexadecimal /usb_tmc_ip_tb/dut/s_dbus_in
26
add wave -noupdate -format Literal -label DBUS_FIFO_OUT -radix hexadecimal /usb_tmc_ip_tb/dut/s_dbus_out
27
add wave -noupdate -format Literal -label OPB_FIFO_IN -radix hexadecimal /usb_tmc_ip_tb/dut/s_opb_in
28
add wave -noupdate -format Literal -label OPB_FIFO_OUT -radix hexadecimal /usb_tmc_ip_tb/dut/s_opb_out
29
add wave -noupdate -divider {U2X R/W}
30
add wave -noupdate -format Logic -label U2X_WR_EN /usb_tmc_ip_tb/dut/s_u2x_wr_en
31
add wave -noupdate -format Logic -label U2X_RD_EN /usb_tmc_ip_tb/dut/s_u2x_rd_en
32
add wave -noupdate -divider {LOOPBACK FSM}
33
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label PR_STATE /usb_tmc_ip_tb/dut/loopback/pr_stateloop
34
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label NX_STATE /usb_tmc_ip_tb/dut/loopback/nx_stateloop
35
add wave -noupdate -divider {U2X FIFO FLAGS}
36
add wave -noupdate -format Logic -label u2x_AM_empty /usb_tmc_ip_tb/dut/s_u2x_am_empty
37
add wave -noupdate -format Logic -label u2x_empty /usb_tmc_ip_tb/dut/s_u2x_empty
38
add wave -noupdate -format Logic -label u2x_full /usb_tmc_ip_tb/dut/f_in/full
39
add wave -noupdate -format Logic -label u2x_am_full /usb_tmc_ip_tb/dut/f_in/almost_full
40
add wave -noupdate -divider {X2U R/W}
41
add wave -noupdate -format Logic -label X2U_WR_EN /usb_tmc_ip_tb/dut/f_out/wr_en
42
add wave -noupdate -format Logic -label X2U_RD_EN /usb_tmc_ip_tb/dut/f_out/rd_en
43
add wave -noupdate -divider {X2U FIFO Flags}
44
add wave -noupdate -format Logic -label X2U_AM_EMPTY /usb_tmc_ip_tb/dut/f_out/almost_empty
45
add wave -noupdate -format Logic -label X2U_EMPTY /usb_tmc_ip_tb/dut/s_x2u_empty
46
add wave -noupdate -format Logic -label x2u_full /usb_tmc_ip_tb/dut/f_out/full
47
add wave -noupdate -format Logic -label x2u_am_full /usb_tmc_ip_tb/dut/f_out/almost_full
48
add wave -noupdate -color Magenta -format Logic -itemcolor Magenta -label IFCLK /usb_tmc_ip_tb/dut/i_ifclk
49
TreeUpdate [SetDefaultTree]
50
WaveRestoreCursors {{Cursor 1} {630 ns} 0}
51
configure wave -namecolwidth 215
52
configure wave -valuecolwidth 127
53
configure wave -justifyvalue left
54
configure wave -signalnamewidth 0
55
configure wave -snapdistance 10
56
configure wave -datasetprefix 0
57
configure wave -rowmargin 4
58
configure wave -childrowmargin 2
59
configure wave -gridoffset 0
60
configure wave -gridperiod 1
61
configure wave -griddelta 40
62
configure wave -timeline 0
63
configure wave -timelineunits ns
64
update
65
WaveRestoreZoom {570 ns} {780 ns}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.