OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [byte_com.udo] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 nussgipfel
-- ProjNav VHDL simulation template: byte_com_tb.udo
2
-- You may edit this file after the line that starts with
3
-- '-- START' to customize your simulation
4
-- START user-defined simulation commands
5
delete wave *
6
onerror {resume}
7
quietly WaveActivateNextPane {} 0
8
add wave -noupdate -color Magenta -format Logic -itemcolor Magenta -label CLK /byte_com_tb/sim_clk
9
add wave -noupdate -color Cyan -format Logic -itemcolor Cyan -label RST /byte_com_tb/sim_rst
10
add wave -noupdate -format Logic -label {SIM_1 -> FLAG} /byte_com_tb/sim_1
11
add wave -noupdate -color {Spring Green} -format Logic -itemcolor {Spring Green} -label WRU /byte_com_tb/wru
12
add wave -noupdate -color {Spring Green} -format Logic -itemcolor {Spring Green} -label RDYU /byte_com_tb/rdyu
13
add wave -noupdate -color Gold -format Logic -itemcolor Gold -label WRX /byte_com_tb/wrx
14
add wave -noupdate -color Gold -format Logic -itemcolor Gold -label RDYX /byte_com_tb/rdyx
15
add wave -noupdate -divider {DATA GPIF}
16
add wave -noupdate -format Literal -label DBUS -radix hexadecimal /byte_com_tb/data_bus
17
add wave -noupdate -divider {GPIO FSM}
18
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label PR_STATE /byte_com_tb/dut/pr_state
19
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label NX_STATE /byte_com_tb/dut/nx_state
20
add wave -noupdate -divider {FPGA DATA BUSES}
21
add wave -noupdate -format Literal -label DBUS_FIFO_IN -radix hexadecimal /byte_com_tb/dut/s_dbus_in
22
add wave -noupdate -format Literal -label DBUS_FIFO_OUT -radix hexadecimal /byte_com_tb/dut/s_dbus_out
23
add wave -noupdate -format Literal -label OPB_FIFO_IN -radix hexadecimal /byte_com_tb/dut/s_opb_in
24
add wave -noupdate -format Literal -label OPB_FIFO_OUT -radix hexadecimal /byte_com_tb/dut/s_opb_out
25
add wave -noupdate -divider {U2X R/W}
26
add wave -noupdate -format Logic -label U2X_WR_EN /byte_com_tb/dut/s_u2x_wr_en
27
add wave -noupdate -format Logic -label U2X_RD_EN /byte_com_tb/dut/s_u2x_rd_en
28
add wave -noupdate -divider {LOOPBACK FSM}
29
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label PR_STATE /byte_com_tb/dut/pr_stateloop
30
add wave -noupdate -color Khaki -format Literal -itemcolor Khaki -label NX_STATE /byte_com_tb/dut/nx_stateloop
31
add wave -noupdate -divider {U2X FIFO FLAGS}
32
add wave -noupdate -format Logic -label u2x_AM_empty /byte_com_tb/dut/s_u2x_am_empty
33
add wave -noupdate -format Logic -label u2x_empty /byte_com_tb/dut/s_u2x_empty
34
add wave -noupdate -format Logic -label u2x_full /byte_com_tb/dut/f_in/full
35
add wave -noupdate -format Logic -label u2x_am_full /byte_com_tb/dut/f_in/almost_full
36
add wave -noupdate -divider {X2U R/W}
37
add wave -noupdate -format Logic -label X2U_WR_EN /byte_com_tb/dut/f_out/wr_en
38
add wave -noupdate -format Logic -label X2U_RD_EN /byte_com_tb/dut/f_out/rd_en
39
add wave -noupdate -divider {X2U FIFO Flags}
40
add wave -noupdate -format Logic -label X2U_AM_EMPTY /byte_com_tb/dut/f_out/almost_empty
41
add wave -noupdate -format Logic -label X2U_EMPTY /byte_com_tb/dut/s_x2u_empty
42
add wave -noupdate -format Logic -label x2u_full /byte_com_tb/dut/f_out/full
43
add wave -noupdate -format Logic -label x2u_am_full /byte_com_tb/dut/f_out/almost_full
44
add wave -noupdate -color Magenta -format Logic -itemcolor Magenta -label IFCLK /byte_com_tb/dut/i_ifclk
45
TreeUpdate [SetDefaultTree]
46
WaveRestoreCursors {{Cursor 1} {630 ns} 0}
47
configure wave -namecolwidth 215
48
configure wave -valuecolwidth 127
49
configure wave -justifyvalue left
50
configure wave -signalnamewidth 0
51
configure wave -snapdistance 10
52
configure wave -datasetprefix 0
53
configure wave -rowmargin 4
54
configure wave -childrowmargin 2
55
configure wave -gridoffset 0
56
configure wave -gridperiod 1
57
configure wave -griddelta 40
58
configure wave -timeline 0
59
configure wave -timelineunits ns
60
update
61
WaveRestoreZoom {570 ns} {780 ns}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.