OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [gpif_com.vhd] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 nussgipfel
--  GECKO3COM IP Core
2
--
3
--  Copyright (C) 2009 by
4
--   ___    ___   _   _
5
--  (  _ \ (  __)( ) ( )
6
--  | (_) )| (   | |_| |   Bern University of Applied Sciences
7
--  |  _ < |  _) |  _  |   School of Engineering and
8
--  | (_) )| |   | | | |   Information Technology
9
--  (____/ (_)   (_) (_)
10
--
11
--  This program is free software: you can redistribute it and/or modify
12
--  it under the terms of the GNU General Public License as published by
13
--  the Free Software Foundation, either version 3 of the License, or
14
--  (at your option) any later version.
15
--
16
--  This program is distributed in the hope that it will be useful,
17
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--  GNU General Public License for more details. 
20
--  You should have received a copy of the GNU General Public License
21
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
22
--
23
--  URL to the project description: 
24
--    http://labs.ti.bfh.ch/gecko/wiki/systems/gecko3com/start
25 18 nussgipfel
--------------------------------------------------------------------------------
26 14 nussgipfel
--
27
--  Author:  Andreas Habegger, Christoph Zimmermann
28
--  Date of creation: 8. April 2009
29
--  Description:
30 18 nussgipfel
--    GECKO3COM defines the communication between the GECKO3main and a USB
31
--    Master e.g. a computer.
32 14 nussgipfel
--
33 18 nussgipfel
--    This file is the top module, it instantiates all required submodules and
34
--    connects them together.
35
--
36
--  Target Devices:     Xilinx Spartan3 FPGA's
37
--                      (usage of BlockRam in the Datapath)
38
--  Tool versions:      11.1
39 14 nussgipfel
--  Dependencies:
40
--
41 18 nussgipfel
--------------------------------------------------------------------------------
42 14 nussgipfel
 
43 11 nussgipfel
library ieee;
44
use ieee.std_logic_1164.all;
45
use ieee.std_logic_arith.all;
46
 
47
library work;
48 14 nussgipfel
use work.GECKO3COM_defines.all;
49 11 nussgipfel
 
50
 
51 14 nussgipfel
entity gpif_com is
52 11 nussgipfel
  port (
53 18 nussgipfel
    -- interface signals to higher level
54
    i_nReset  : in  std_logic;          -- asynchronous active low reset
55
    i_SYSCLK  : in  std_logic;          -- FPGA System CLK
56
    o_ABORT   : out std_logic;          -- Abort detected, you have to flush the data
57
    o_RX      : out std_logic;          -- controll LED rx
58
    o_TX      : out std_logic;          -- controll LED tx
59
    i_RD_EN   : in  std_logic;          -- read enable
60
    o_EMPTY   : out std_logic;          -- receive fifo empty
61
    o_RX_DATA : out std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);  -- receive data
62
    i_WR_EN   : in  std_logic;          -- write enable
63
    o_FULL    : out std_logic;          -- send fifo full
64
    i_TX_DATA : in  std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);  -- send data
65
 
66
    -- GPIF connections, to be connected to FPGA pins
67
    i_IFCLK    : in    std_logic;       -- GPIF CLK (GPIF is Master and provides the clock)
68
    i_WRU      : in    std_logic;       -- write from GPIF
69
    i_RDYU     : in    std_logic;       -- GPIF is ready
70
    o_WRX      : out   std_logic;       -- To write to GPIF
71
    o_RDYX     : out   std_logic;       -- IP Core is ready
72
    b_gpif_bus : inout std_logic_vector(SIZE_DBUS_GPIF-1 downto 0));  -- bidirect data bus
73 14 nussgipfel
end gpif_com;
74 11 nussgipfel
 
75
 
76
 
77 14 nussgipfel
architecture structure of gpif_com is
78
 
79 11 nussgipfel
  -- interconection signals
80
 
81 18 nussgipfel
  signal s_FIFOrst, s_WRX, s_RDYX      : std_logic;
82 14 nussgipfel
 
83
  signal s_ABORT_FSM, s_ABORT_TMP  : std_logic;
84
  signal s_RX_FSM, s_RX_TMP  : std_logic;
85
  signal s_TX_FSM, s_TX_TMP  : std_logic;
86
 
87 18 nussgipfel
  -- USB to Xilinx (U2X)
88 12 nussgipfel
  signal s_U2X_WR_EN,
89 18 nussgipfel
    s_U2X_RD_EN,
90
    s_U2X_FULL,
91
    s_U2X_AM_FULL,
92
    s_U2X_EMPTY,
93
    s_U2X_AM_EMPTY : std_logic;
94
  signal s_U2X_DATA     : std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
95
 
96
  -- Xilinx to USB (X2U)
97 12 nussgipfel
  signal s_X2U_WR_EN,
98 18 nussgipfel
    s_X2U_RD_EN,
99
    s_X2U_FULL,
100
    s_X2U_AM_FULL,
101
    s_X2U_EMPTY,
102
    s_X2U_AM_EMPTY : std_logic;
103
  signal s_X2U_DATA     : std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
104
 
105
  -----------------------------------------------------------------------------
106 11 nussgipfel
  -- data bus
107 18 nussgipfel
  -----------------------------------------------------------------------------
108 11 nussgipfel
 
109
  -- data signals
110 14 nussgipfel
  signal s_dbus_trans_dir     : std_logic;
111 18 nussgipfel
  signal s_dbus_in  : std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
112
  signal s_dbus_out : std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
113 14 nussgipfel
 
114 11 nussgipfel
  -----------------------------------------------------------------------------
115
  -- COMPONENTS
116
  -----------------------------------------------------------------------------
117
 
118 14 nussgipfel
  -- FSM GPIF
119
  component gpif_com_fsm
120 18 nussgipfel
    port (
121
      i_nReset,
122
      i_IFCLK,                                                                  -- GPIF CLK (is Master)
123
      i_WRU,                             -- write from GPIF
124
      i_RDYU          : in    std_logic;       -- GPIF is ready
125
      i_U2X_FULL,
126
      i_U2X_AM_FULL,     -- signals for IN FIFO
127
      i_X2U_AM_EMPTY,
128
      i_X2U_EMPTY       : in  std_logic;     -- signals for OUT FIFO
129
      o_bus_trans_dir        : out    std_logic;
130
      o_U2X_WR_EN,                                                    -- signals for IN FIFO
131
      o_X2U_RD_EN,                                                              -- signals for OUT FIFO
132
      o_FIFOrst,
133
      o_WRX,                             -- To write to GPIF
134
      o_RDYX    : out   std_logic;       -- Core is ready
135
      o_ABORT   : out   std_logic;       -- abort condition detected. we have to flush the data
136
      o_RX,
137
      o_TX      : out   std_logic               --
138
      );
139 14 nussgipfel
  end component;
140 11 nussgipfel
 
141 14 nussgipfel
  -- FIFO dualclock to cross the clock domain between the GPIF and the FPGA
142
  component fifo_dualclock
143 18 nussgipfel
    port (
144
      i_din          : IN  std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
145
      i_rd_clk       : IN  std_logic;
146
      i_rd_en        : IN  std_logic;
147
      i_rst          : IN  std_logic;
148
      i_wr_clk       : IN  std_logic;
149
      i_wr_en        : IN  std_logic;
150
      o_almost_empty : OUT std_logic;
151
      o_almost_full  : OUT std_logic;
152
      o_dout         : OUT std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
153
      o_empty        : OUT std_logic;
154
      o_full         : OUT std_logic);
155 14 nussgipfel
  end component;
156
 
157 11 nussgipfel
 
158
begin
159
 
160
  -----------------------------------------------------------------------------
161
  -- Port map
162
  -----------------------------------------------------------------------------
163
 
164 14 nussgipfel
  F_IN : fifo_dualclock
165 18 nussgipfel
    port map (
166
      i_din          => s_dbus_in,
167
      i_rd_clk       => i_SYSCLK,
168
      i_rd_en        => s_U2X_RD_EN,
169
      i_rst          => s_FIFOrst,
170
      i_wr_clk       => i_IFCLK ,
171
      i_wr_en        => s_U2X_WR_EN,
172
      o_almost_empty => s_U2X_AM_EMPTY,
173
      o_almost_full  => s_U2X_AM_FULL,
174
      o_dout         => s_U2X_DATA,
175
      o_empty        => s_U2X_EMPTY,
176
      o_full         => s_U2X_FULL
177
      );
178 11 nussgipfel
 
179
 
180 14 nussgipfel
  F_OUT : fifo_dualclock
181 18 nussgipfel
    port map (
182
      i_din          => s_X2U_DATA,
183
      i_rd_clk       => i_IFCLK,
184
      i_rd_en        => s_X2U_RD_EN,
185
      i_rst          => s_FIFOrst,
186
      i_wr_clk       => i_SYSCLK,
187
      i_wr_en        => s_X2U_WR_EN,
188
      o_almost_empty => s_X2U_AM_EMPTY,
189
      o_almost_full  => s_X2U_AM_FULL,
190
      o_dout         => s_dbus_out,
191
      o_empty        => s_X2U_EMPTY,
192
      o_full         => s_X2U_FULL
193
      );
194 11 nussgipfel
 
195 12 nussgipfel
 
196 14 nussgipfel
  FSM_GPIF : gpif_com_fsm
197 18 nussgipfel
    port map (
198
      i_nReset        => i_nReset,
199
      i_IFCLK         => i_IFCLK,
200
      i_WRU           => i_WRU,
201
      i_RDYU          => i_RDYU,
202
      i_U2X_FULL      => s_U2X_FULL,
203
      i_U2X_AM_FULL   => s_U2X_AM_FULL,
204
      i_X2U_AM_EMPTY  => s_X2U_AM_EMPTY,
205
      i_X2U_EMPTY     => s_X2U_EMPTY,
206
      o_U2X_WR_EN     => s_U2X_WR_EN,
207
      o_X2U_RD_EN     => s_X2U_RD_EN,
208
      o_FIFOrst       => s_FIFOrst,
209
      o_bus_trans_dir => s_dbus_trans_dir,
210
      o_WRX           => s_WRX,
211
      o_RDYX          => s_RDYX,
212
      o_ABORT         => s_ABORT_FSM,
213
      o_RX            => s_RX_FSM,
214
      o_TX            => s_TX_FSM
215
      );
216 11 nussgipfel
 
217 18 nussgipfel
 
218
 
219
  s_U2X_RD_EN  <= i_RD_EN;
220
  o_EMPTY   <= s_U2X_EMPTY;
221
  o_RX_DATA <= s_U2X_DATA;
222
 
223
  s_X2U_WR_EN <= i_WR_EN;
224
  o_FULL    <= s_X2U_FULL;
225
  s_X2U_DATA <= i_TX_DATA;
226
 
227
  o_WRX <= s_WRX;
228
  o_RDYX <= s_RDYX;
229
 
230 14 nussgipfel
  -- Double buffer the ABORT, RX and TX signal to avoid metastability
231
  double_buf_sig : process (i_SYSCLK, i_nReset)
232
  begin
233
    if i_nReset = '0' then
234 18 nussgipfel
      o_ABORT     <= '0';
235 14 nussgipfel
      s_ABORT_TMP <= '0';
236 18 nussgipfel
      o_TX        <= '0';
237
      s_TX_TMP    <= '0';
238
      o_RX        <= '0';
239
      s_RX_TMP    <= '0';
240
    elsif rising_edge(i_SYSCLK) then
241
      o_ABORT     <= s_ABORT_TMP;
242 14 nussgipfel
      s_ABORT_TMP <= s_ABORT_FSM;
243 18 nussgipfel
      o_TX        <= s_TX_TMP;
244
      s_TX_TMP    <= s_TX_FSM;
245
      o_RX        <= s_RX_TMP;
246
      s_RX_TMP    <= s_RX_FSM;
247 14 nussgipfel
    end if;
248
  end process double_buf_sig;
249 11 nussgipfel
 
250
 
251 18 nussgipfel
-----------------------------------------------------------------------------
252
-- Data bus access
253
-----------------------------------------------------------------------------
254
 
255
-- purpose: to handle the access on the bidirectional bus
256
-- type   : combinational
257
-- inputs : s_bus_trans_dir
258
-- outputs: 
259 14 nussgipfel
  bus_access : process (s_dbus_trans_dir, s_dbus_out)
260
  begin  -- process bus_access
261
    if s_dbus_trans_dir = '1' then
262 18 nussgipfel
      b_gpif_bus <= s_dbus_out;
263 14 nussgipfel
    else
264 18 nussgipfel
      b_gpif_bus <= (others => 'Z');
265 14 nussgipfel
    end if;
266
  end process bus_access;
267 18 nussgipfel
 
268
  s_dbus_in <= b_gpif_bus;
269
 
270 14 nussgipfel
end structure;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.