OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [modelsim.ini] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 nussgipfel
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
12
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
13
;mvc_lib = $MODEL_TECH/../mvc_lib
14
 
15
UNISIM = /home/habea2/Geccko3com/gecko3com_v04/lib/unisim
16
SIMPRIM = /home/habea2/Geccko3com/gecko3com_v04/lib/simprim
17
XILINXCORELIB = /home/habea2/Geccko3com/gecko3com_v04/lib/XilinxCoreLib
18
[vcom]
19
; VHDL93 variable selects language version as the default.
20
; Default is VHDL-2002.
21
; Value of 0 or 1987 for VHDL-1987.
22
; Value of 1 or 1993 for VHDL-1993.
23
; Default or value of 2 or 2002 for VHDL-2002.
24
; Value of 3 or 2008 for VHDL-2008
25
VHDL93 = 2002
26
 
27
; Show source line containing error. Default is off.
28
; Show_source = 1
29
 
30
; Turn off unbound-component warnings. Default is on.
31
; Show_Warning1 = 0
32
 
33
; Turn off process-without-a-wait-statement warnings. Default is on.
34
; Show_Warning2 = 0
35
 
36
; Turn off null-range warnings. Default is on.
37
; Show_Warning3 = 0
38
 
39
; Turn off no-space-in-time-literal warnings. Default is on.
40
; Show_Warning4 = 0
41
 
42
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
43
; Show_Warning5 = 0
44
 
45
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
46
; Optimize_1164 = 0
47
 
48
; Turn on resolving of ambiguous function overloading in favor of the
49
; "explicit" function declaration (not the one automatically created by
50
; the compiler for each type declaration). Default is off.
51
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
52
; will match the behavior of synthesis tools.
53
Explicit = 1
54
 
55
; Turn off acceleration of the VITAL packages. Default is to accelerate.
56
; NoVital = 1
57
 
58
; Turn off VITAL compliance checking. Default is checking on.
59
; NoVitalCheck = 1
60
 
61
; Ignore VITAL compliance checking errors. Default is to not ignore.
62
; IgnoreVitalErrors = 1
63
 
64
; Turn off VITAL compliance checking warnings. Default is to show warnings.
65
; Show_VitalChecksWarnings = 0
66
 
67
; Turn off PSL assertion warning messages. Default is to show warnings.
68
; Show_PslChecksWarnings = 0
69
 
70
; Enable parsing of embedded PSL assertions. Default is enabled.
71
; EmbeddedPsl = 0
72
 
73
; Keep silent about case statement static warnings.
74
; Default is to give a warning.
75
; NoCaseStaticError = 1
76
 
77
; Keep silent about warnings caused by aggregates that are not locally static.
78
; Default is to give a warning.
79
; NoOthersStaticError = 1
80
 
81
; Treat as errors:
82
;   case statement static warnings
83
;   warnings caused by aggregates that are not locally static
84
; Overrides NoCaseStaticError, NoOthersStaticError settings.
85
; PedanticErrors = 1
86
 
87
; Turn off inclusion of debugging info within design units.
88
; Default is to include debugging info.
89
; NoDebug = 1
90
 
91
; Turn off "Loading..." messages. Default is messages on.
92
; Quiet = 1
93
 
94
; Turn on some limited synthesis rule compliance checking. Checks only:
95
;    -- signals used (read) by a process must be in the sensitivity list
96
; CheckSynthesis = 1
97
 
98
; Activate optimizations on expressions that do not involve signals,
99
; waits, or function/procedure/task invocations. Default is off.
100
; ScalarOpts = 1
101
 
102
; Turns on lint-style checking.
103
; Show_Lint = 1
104
 
105
; Require the user to specify a configuration for all bindings,
106
; and do not generate a compile time default binding for the
107
; component. This will result in an elaboration error of
108
; 'component not bound' if the user fails to do so. Avoids the rare
109
; issue of a false dependency upon the unused default binding.
110
; RequireConfigForAllDefaultBinding = 1
111
 
112
; Perform default binding at compile time.
113
; Default is to do default binding at load time.
114
; BindAtCompile = 1;
115
 
116
; Inhibit range checking on subscripts of arrays. Range checking on
117
; scalars defined with subtypes is inhibited by default.
118
; NoIndexCheck = 1
119
 
120
; Inhibit range checks on all (implicit and explicit) assignments to
121
; scalar objects defined with subtypes.
122
; NoRangeCheck = 1
123
 
124
; Run the 0-in compiler on the VHDL source files
125
; Default is off.
126
; ZeroIn = 1
127
 
128
; Set the options to be passed to the 0-in compiler.
129
; Default is "".
130
; ZeroInOptions = ""
131
 
132
; Turn on code coverage in VHDL design units. Default is off.
133
; Coverage = sbceft
134
 
135
; Turn off code coverage in VHDL subprograms. Default is on.
136
; CoverageSub = 0
137
 
138
; Automatically exclude VHDL case statement default branches.
139
; Default is to not exclude.
140
; CoverExcludeDefault = 1
141
 
142
; Control compiler and VOPT optimizations that are allowed when
143
; code coverage is on.  Refer to the comment for this in the [vlog] area.
144
; CoverOpt = 3
145
 
146
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
147
; values on signals in conditions and expressions, and to not automatically
148
; convert them to '1' and '0'. Default is to not convert.
149
; CoverRespectHandL = 0
150
 
151
; Increase or decrease the maximum number of rows allowed in a UDP table
152
; implementing a VHDL condition coverage or expression coverage expression.
153
; More rows leads to a longer compile time, but more expressions covered.
154
; CoverMaxUDPRows = 192
155
 
156
; Increase or decrease the maximum number of input patterns that are present
157
; in FEC table. This leads to a longer compile time with more expressions
158
; covered with FEC metric.
159
; CoverMaxFECRows = 192
160
 
161
; Enable or disable Focused Expression Coverage analysis for conditions and
162
; expressions. Focused Expression Coverage data is provided by default when
163
; expression and/or condition coverage is active.
164
; CoverFEC = 0
165
 
166
; Enable or disable short circuit evaluation of conditions and expressions when
167
; condition or expression coverage is active. Short circuit evaluation is enabled
168
; by default.
169
; CoverShortCircuit = 0
170
 
171
; Use this directory for compiler temporary files instead of "work/_temp"
172
; CompilerTempDir = /tmp
173
 
174
; Add VHDL-AMS declarations to package STANDARD
175
; Default is not to add
176
; AmsStandard = 1
177
 
178
; Range and length checking will be performed on array indices and discrete
179
; ranges, and when violations are found within subprograms, errors will be
180
; reported. Default is to issue warnings for violations, because subprograms
181
; may not be invoked.
182
; NoDeferSubpgmCheck = 0
183
 
184
; Turn off detection of FSMs having single bit current state variable.
185
; FsmSingle = 0
186
 
187
; Turn off reset state transitions in FSM.
188
; FsmResetTrans = 0
189
 
190
; Do not show immediate assertions with constant expressions in
191
; GUI/report/UCDB etc. By default immediate assertions with constant
192
; expressions are shown in GUI/report/UCDB etc. This does not affect ;
193
; evaluation of immediate assertions.
194
; ShowConstantImmediateAsserts = 0
195
 
196
[vlog]
197
; Turn off inclusion of debugging info within design units.
198
; Default is to include debugging info.
199
; NoDebug = 1
200
 
201
; Turn on `protect compiler directive processing.
202
; Default is to ignore `protect directives.
203
; Protect = 1
204
 
205
; Turn off "Loading..." messages. Default is messages on.
206
; Quiet = 1
207
 
208
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
209
; Default is off.
210
; Hazard = 1
211
 
212
; Turn on converting regular Verilog identifiers to uppercase. Allows case
213
; insensitivity for module names. Default is no conversion.
214
; UpCase = 1
215
 
216
; Activate optimizations on expressions that do not involve signals,
217
; waits, or function/procedure/task invocations. Default is off.
218
; ScalarOpts = 1
219
 
220
; Turns on lint-style checking.
221
; Show_Lint = 1
222
 
223
; Show source line containing error. Default is off.
224
; Show_source = 1
225
 
226
; Turn on bad option warning. Default is off.
227
; Show_BadOptionWarning = 1
228
 
229
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
230
; vlog95compat = 1
231
 
232
; Turn off PSL warning messages. Default is to show warnings.
233
; Show_PslChecksWarnings = 0
234
 
235
; Enable parsing of embedded PSL assertions. Default is enabled.
236
; EmbeddedPsl = 0
237
 
238
; Set the threshold for automatically identifying sparse Verilog memories.
239
; A memory with depth equal to or more than the sparse memory threshold gets
240
; marked as sparse automatically, unless specified otherwise in source code
241
; or by +nosparse commandline option of vlog or vopt.
242
; The default is 1M.  (i.e. memories with depth equal
243
; to or greater than 1M are marked as sparse)
244
; SparseMemThreshold = 1048576
245
 
246
; Set the maximum number of iterations permitted for a generate loop.
247
; Restricting this permits the implementation to recognize infinite
248
; generate loops.
249
; GenerateLoopIterationMax = 100000
250
 
251
; Set the maximum depth permitted for a recursive generate instantiation.
252
; Restricting this permits the implementation to recognize infinite
253
; recursions.
254
; GenerateRecursionDepthMax = 200
255
 
256
; Run the 0-in compiler on the Verilog source files
257
; Default is off.
258
; ZeroIn = 1
259
 
260
; Set the options to be passed to the 0-in compiler.
261
; Default is "".
262
; ZeroInOptions = ""
263
 
264
; Set the option to treat all files specified in a vlog invocation as a
265
; single compilation unit. The default value is set to 0 which will treat
266
; each file as a separate compilation unit as specified in the P1800 draft standard.
267
; MultiFileCompilationUnit = 1
268
 
269
; Turn on code coverage in Verilog design units. Default is off.
270
; Coverage = sbceft
271
 
272
; Automatically exclude Verilog case statement default branches.
273
; Default is to not automatically exclude defaults.
274
; CoverExcludeDefault = 1
275
 
276
; Increase or decrease the maximum number of rows allowed in a UDP table
277
; implementing a Verilog condition coverage or expression coverage expression.
278
; More rows leads to a longer compile time, but more expressions covered.
279
; CoverMaxUDPRows = 192
280
 
281
; Increase or decrease the maximum number of input patterns that are present
282
; in FEC table. This leads to a longer compile time with more expressions
283
; covered with FEC metric.
284
; CoverMaxFECRows = 192
285
 
286
; Enable or disable Focused Expression Coverage analysis for conditions and
287
; expressions. Focused Expression Coverage data is provided by default when
288
; expression and/or condition coverage is active.
289
; CoverFEC = 0
290
 
291
; Enable or disable short circuit evaluation of conditions and expressions when
292
; condition or expression coverage is active. Short circuit evaluation is enabled
293
; by default.
294
; CoverShortCircuit = 0
295
 
296
 
297
; Turn on code coverage in VLOG `celldefine modules and modules included
298
; using vlog -v and -y. Default is off.
299
; CoverCells = 1
300
 
301
; Control compiler and VOPT optimizations that are allowed when
302
; code coverage is on. This is a number from 1 to 4, with the following
303
; meanings (the default is 3):
304
;    1 -- Turn off all optimizations that affect coverage reports.
305
;    2 -- Allow optimizations that allow large performance improvements
306
;         by invoking sequential processes only when the data changes.
307
;         This may make major reductions in coverage counts.
308
;    3 -- In addition, allow optimizations that may change expressions or
309
;         remove some statements. Allow constant propagation. Allow VHDL
310
;         subprogram inlining and VHDL FF recognition.
311
;    4 -- In addition, allow optimizations that may remove major regions of
312
;         code by changing assignments to built-ins or removing unused
313
;         signals. Change Verilog gates to continuous assignments.
314
; CoverOpt = 3
315
 
316
; Specify the override for the default value of "cross_num_print_missing"
317
; option for the Cross in Covergroups. If not specified then LRM default
318
; value of 0 (zero) is used. This is a compile time option.
319
; SVCrossNumPrintMissingDefault = 0
320
 
321
; Setting following to 1 would cause creation of variables which
322
; would represent the value of Coverpoint expressions. This is used
323
; in conjunction with "SVCoverpointExprVariablePrefix" option
324
; in the modelsim.ini
325
; EnableSVCoverpointExprVariable = 0
326
 
327
; Specify the override for the prefix used in forming the variable names
328
; which represent the Coverpoint expressions. This is used in conjunction with
329
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
330
; The default prefix is "expr".
331
; The variable name is
332
;    variable name => _
333
; SVCoverpointExprVariablePrefix = expr
334
 
335
; Override for the default value of the SystemVerilog covergroup,
336
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
337
; NOTE: It does not override specific assignments in SystemVerilog
338
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
339
; in the [vsim] section can override this value.
340
; SVCovergroupGoalDefault = 100
341
 
342
; Override for the default value of the SystemVerilog covergroup,
343
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
344
; NOTE: It does not override specific assignments in SystemVerilog
345
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
346
; in the [vsim] section can override this value.
347
; SVCovergroupTypeGoalDefault = 100
348
 
349
; Specify the override for the default value of "strobe" option for the
350
; Covergroup Type. This is a compile time option which forces "strobe" to
351
; a user specified default value and supersedes SystemVerilog specified
352
; default value of '0'(zero). NOTE: This can be overriden by a runtime
353
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
354
; SVCovergroupStrobeDefault = 0
355
 
356
; Specify the override for the default value of "merge_instances" option for
357
; the Covergroup Type. This is a compile time option which forces
358
; "merge_instances" to a user specified default value and supersedes
359
; SystemVerilog specified default value of '0'(zero).
360
; SVCovergroupMergeInstancesDefault = 0
361
 
362
; Specify the override for the default value of "per_instance" option for the
363
; Covergroup variables. This is a compile time option which forces "per_instance"
364
; to a user specified default value and supersedes SystemVerilog specified
365
; default value of '0'(zero).
366
; SVCovergroupPerInstanceDefault = 0
367
 
368
; Specify the override for the default value of "get_inst_coverage" option for the
369
; Covergroup variables. This is a compile time option which forces
370
; "get_inst_coverage" to a user specified default value and supersedes
371
; SystemVerilog specified default value of '0'(zero).
372
; SVCovergroupGetInstCoverageDefault = 0
373
 
374
;
375
; A space separated list of resource libraries that contain precompiled
376
; packages.  The behavior is identical to using the "-L" switch.
377
;
378
; LibrarySearchPath =  [ ...]
379
LibrarySearchPath = mtiAvm mtiOvm mtiUPF
380
 
381
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
382
; MixedAnsiPorts = 1
383
 
384
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
385
; EnableTypeOf = 1
386
 
387
; Only allow lower case pragmas. Default is disabled.
388
; AcceptLowerCasePragmaOnly = 1
389
 
390
; Set the maximum depth permitted for a recursive include file nesting.
391
; IncludeRecursionDepthMax = 5
392
 
393
; Turn off detection of FSMs having single bit current state variable.
394
; FsmSingle = 0
395
 
396
; Turn off reset state transitions in FSM.
397
; FsmResetTrans = 0
398
 
399
; Turn off detections of FSMs having x-assignment.
400
; FsmXAssign = 0
401
 
402
; List of file suffixes which will be read as SystemVerilog.  White space
403
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
404
; can be specified with two consecutive back-slashes: "\\";
405
; SVFileExtensions = sv svp svh
406
 
407
; This setting is the same as the vlog -sv command line switch.
408
; Enables SystemVerilog features and keywords when true (1).
409
; When false (0), the rules of IEEE Std 1364-2001 are followed and
410
; SystemVerilog keywords are ignored.
411
; Svlog = 0
412
 
413
; Prints attribute placed upon SV packages during package import
414
; when true (1).  The attribute will be ignored when this
415
; entry is false (0). The attribute name is "package_load_message".
416
; The value of this attribute is a string literal.
417
; Default is true (1).
418
; PrintSVPackageLoadingAttribute = 1
419
 
420
; Do not show immediate assertions with constant expressions in
421
; GUI/reports/UCDB etc. By default immediate assertions with constant
422
; expressions are shown in GUI/reports/UCDB etc. This does not affect
423
; evaluation of immediate assertions.
424
; ShowConstantImmediateAsserts = 0
425
 
426
[sccom]
427
; Enable use of SCV include files and library.  Default is off.
428
; UseScv = 1
429
 
430
; Add C++ compiler options to the sccom command line by using this variable.
431
; CppOptions = -g
432
 
433
; Use custom C++ compiler located at this path rather than the default path.
434
; The path should point directly at a compiler executable.
435
; CppPath = /usr/bin/g++
436
 
437
; Enable verbose messages from sccom.  Default is off.
438
; SccomVerbose = 1
439
 
440
; sccom logfile.  Default is no logfile.
441
; SccomLogfile = sccom.log
442
 
443
; Enable use of SC_MS include files and library.  Default is off.
444
; UseScMs = 1
445
 
446
[vopt]
447
; Turn on code coverage in vopt.  Default is off.
448
; Coverage = sbceft
449
 
450
; Control compiler optimizations that are allowed when
451
; code coverage is on.  Refer to the comment for this in the [vlog] area.
452
; CoverOpt = 3
453
 
454
; Increase or decrease the maximum number of rows allowed in a UDP table
455
; implementing a vopt condition coverage or expression coverage expression.
456
; More rows leads to a longer compile time, but more expressions covered.
457
; CoverMaxUDPRows = 192
458
 
459
; Increase or decrease the maximum number of input patterns that are present
460
; in FEC table. This leads to a longer compile time with more expressions
461
; covered with FEC metric.
462
; CoverMaxFECRows = 192
463
 
464
; Do not show immediate assertions with constant expressions in
465
; GUI/reports/UCDB etc. By default immediate assertions with constant
466
; expressions are shown in GUI/reports/UCDB etc. This does not affect
467
; evaluation of immediate assertions.
468
; ShowConstantImmediateAsserts = 0
469
 
470
[vsim]
471
; vopt flow
472
; Set to turn on automatic optimization of a design.
473
; Default is on
474
VoptFlow = 1
475
 
476
; vopt automatic SDF
477
; If automatic design optimization is on, enables automatic compilation
478
; of SDF files.
479
; Default is on, uncomment to turn off.
480
; VoptAutoSDFCompile = 0
481
 
482
; Automatic SDF compilation
483
; Disables automatic compilation of SDF files in flows that support it.
484
; Default is on, uncomment to turn off.
485
; NoAutoSDFCompile = 1
486
 
487
; Simulator resolution
488
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
489
Resolution = ns
490
 
491
; Disable certain code coverage exclusions automatically.
492
; Assertions and FSM are exluded from the code coverage by default
493
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
494
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
495
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
496
; Or specify comma or space separated list
497
;AutoExclusionsDisable = fsm,assertions
498
 
499
; User time unit for run commands
500
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
501
; unit specified for Resolution. For example, if Resolution is 100ps,
502
; then UserTimeUnit defaults to ps.
503
; Should generally be set to default.
504
UserTimeUnit = default
505
 
506
; Default run length
507
RunLength = 100
508
 
509
; Maximum iterations that can be run without advancing simulation time
510
IterationLimit = 5000
511
 
512
; Control PSL and Verilog Assume directives during simulation
513
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
514
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
515
; SimulateAssumeDirectives = 1
516
 
517
; Control the simulation of PSL and SVA
518
; These switches can be overridden by the vsim command line switches:
519
;    -psl, -nopsl, -sva, -nosva.
520
; Set SimulatePSL = 0 to disable PSL simulation
521
; Set SimulatePSL = 1 to enable PSL simulation (default)
522
; SimulatePSL = 1
523
; Set SimulateSVA = 0 to disable SVA simulation
524
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
525
; SimulateSVA = 1
526
 
527
; Directives to license manager can be set either as single value or as
528
; space separated multi-values:
529
; vhdl          Immediately reserve a VHDL license
530
; vlog          Immediately reserve a Verilog license
531
; plus          Immediately reserve a VHDL and Verilog license
532
; nomgc         Do not look for Mentor Graphics Licenses
533
; nomti         Do not look for Model Technology Licenses
534
; noqueue       Do not wait in the license queue when a license is not available
535
; viewsim       Try for viewer license but accept simulator license(s) instead
536
;               of queuing for viewer license (PE ONLY)
537
; noviewer      Disable checkout of msimviewer and vsim-viewer license
538
;               features (PE ONLY)
539
; noslvhdl      Disable checkout of qhsimvh and vsim license features
540
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
541
; nomix         Disable checkout of msimhdlmix and hdlmix license features
542
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
543
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
544
;               features
545
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
546
;               hdlmix license features
547
; Single value:
548
; License = plus
549
; Multi-value:
550
; License = noqueue plus
551
 
552
; Stop the simulator after a VHDL/Verilog immediate assertion message
553
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
554
BreakOnAssertion = 3
555
 
556
; VHDL assertion Message Format
557
; %S - Severity Level
558
; %R - Report Message
559
; %T - Time of assertion
560
; %D - Delta
561
; %I - Instance or Region pathname (if available)
562
; %i - Instance pathname with process
563
; %O - Process name
564
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
565
; %P - Instance or Region path without leaf process
566
; %F - File
567
; %L - Line number of assertion or, if assertion is in a subprogram, line
568
;      from which the call is made
569
; %% - Print '%' character
570
; If specific format for assertion level is defined, use its format.
571
; If specific format is not defined for assertion level:
572
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
573
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
574
;   level), use MessageFormatBreak;
575
; - otherwise, use MessageFormat.
576
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
577
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
578
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
579
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
580
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
581
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
582
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
583
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
584
 
585
; Error File - alternate file for storing error messages
586
; ErrorFile = error.log
587
 
588
 
589
; Simulation Breakpoint messages
590
; This flag controls the display of function names when reporting the location
591
; where the simulator stops do to a breakpoint or fatal error.
592
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
593
; Example wo/function name: # Break at counter.vhd line 44
594
ShowFunctions = 1
595
 
596
; Default radix for all windows and commands.
597
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
598
DefaultRadix = symbolic
599
 
600
; VSIM Startup command
601
; Startup = do startup.do
602
 
603
; VSIM Shutdown file
604
; Filename to save u/i formats and configurations.
605
; ShutdownFile = restart.do
606
; To explicitly disable auto save:
607
; ShutdownFile = --disable-auto-save
608
 
609
; File for saving command transcript
610
TranscriptFile = transcript
611
 
612
; File for saving command history
613
; CommandHistory = cmdhist.log
614
 
615
; Specify whether paths in simulator commands should be described
616
; in VHDL or Verilog format.
617
; For VHDL, PathSeparator = /
618
; For Verilog, PathSeparator = .
619
; Must not be the same character as DatasetSeparator.
620
PathSeparator = /
621
 
622
; Specify the dataset separator for fully rooted contexts.
623
; The default is ':'. For example: sim:/top
624
; Must not be the same character as PathSeparator.
625
DatasetSeparator = :
626
 
627
; Specify a unique path separator for the Signal Spy set of functions.
628
; The default will be to use the PathSeparator variable.
629
; Must not be the same character as DatasetSeparator.
630
; SignalSpyPathSeparator = /
631
 
632
; Used to control parsing of HDL identifiers input to the tool.
633
; This includes CLI commands, vsim/vopt/vlog/vcom options,
634
; string arguments to FLI/VPI/DPI calls, etc.
635
; If set to 1, accept either Verilog escaped Id syntax or
636
; VHDL extended id syntax, regardless of source language.
637
; If set to 0, the syntax of the source language must be used.
638
; Each identifier in a hierarchical name may need different syntax,
639
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
640
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
641
; GenerousIdentifierParsing = 1
642
 
643
; Disable VHDL assertion messages
644
; IgnoreNote = 1
645
; IgnoreWarning = 1
646
; IgnoreError = 1
647
; IgnoreFailure = 1
648
 
649
; Disable System Verilog assertion messages
650
; IgnoreSVAInfo = 1
651
; IgnoreSVAWarning = 1
652
; IgnoreSVAError = 1
653
; IgnoreSVAFatal = 1
654
 
655
; Do not print any additional information from Severity System tasks.
656
; Only the message provided by the user is printed along with severity
657
; information.
658
; SVAPrintOnlyUserMessage = 1;
659
 
660
; Default force kind. May be freeze, drive, deposit, or default
661
; or in other terms, fixed, wired, or charged.
662
; A value of "default" will use the signal kind to determine the
663
; force kind, drive for resolved signals, freeze for unresolved signals
664
; DefaultForceKind = freeze
665
 
666
; If zero, open files when elaborated; otherwise, open files on
667
; first read or write.  Default is 0.
668
; DelayFileOpen = 1
669
 
670
; Control VHDL files opened for write.
671
;   0 = Buffered, 1 = Unbuffered
672
UnbufferedOutput = 0
673
 
674
; Control the number of VHDL files open concurrently.
675
; This number should always be less than the current ulimit
676
; setting for max file descriptors.
677
;   0 = unlimited
678
ConcurrentFileLimit = 40
679
 
680
; Control the number of hierarchical regions displayed as
681
; part of a signal name shown in the Wave window.
682
; A value of zero tells VSIM to display the full name.
683
; The default is 0.
684
; WaveSignalNameWidth = 0
685
 
686
; Turn off warnings when changing VHDL constants and generics
687
; Default is 1 to generate warning messages
688
; WarnConstantChange = 0
689
 
690
; Turn off warnings from the std_logic_arith, std_logic_unsigned
691
; and std_logic_signed packages.
692
; StdArithNoWarnings = 1
693
 
694
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
695
; NumericStdNoWarnings = 1
696
 
697
; Control the format of the (VHDL) FOR generate statement label
698
; for each iteration.  Do not quote it.
699
; The format string here must contain the conversion codes %s and %d,
700
; in that order, and no other conversion codes.  The %s represents
701
; the generate_label; the %d represents the generate parameter value
702
; at a particular generate iteration (this is the position number if
703
; the generate parameter is of an enumeration type).  Embedded whitespace
704
; is allowed (but discouraged); leading and trailing whitespace is ignored.
705
; Application of the format must result in a unique scope name over all
706
; such names in the design so that name lookup can function properly.
707
; GenerateFormat = %s__%d
708
 
709
; Specify whether checkpoint files should be compressed.
710
; The default is 1 (compressed).
711
; CheckpointCompressMode = 0
712
 
713
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
714
; The term "out-of-the-blue" refers to SystemVerilog export function calls
715
; made from C functions that don't have the proper context setup
716
; (as is the case when running under "DPI-C" import functions).
717
; When this is enabled, one can call a DPI export function
718
; (but not task) from any C code.
719
; the setting of this variable can be one of the following values:
720
; 0 : dpioutoftheblue call is disabled (default)
721
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
722
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
723
; DpiOutOfTheBlue = 1
724
 
725
; Specify whether continuous assignments are run before other normal priority
726
; processes scheduled in the same iteration. This event ordering minimizes race
727
; differences between optimized and non-optimized designs, and is the default
728
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
729
; ImmediateContinuousAssign to 0.
730
; The default is 1 (enabled).
731
; ImmediateContinuousAssign = 0
732
 
733
; List of dynamically loaded objects for Verilog PLI applications
734
; Veriuser = veriuser.sl
735
 
736
; Which default VPI object model should the tool conform to?
737
; The 1364 modes are Verilog-only, for backwards compatibility with older
738
; libraries, and SystemVerilog objects are not available in these modes.
739
;
740
; In the absence of a user-specified default, the tool default is the
741
; latest available LRM behavior.
742
; Options for PliCompatDefault are:
743
;  VPI_COMPATIBILITY_VERSION_1364v1995
744
;  VPI_COMPATIBILITY_VERSION_1364v2001
745
;  VPI_COMPATIBILITY_VERSION_1364v2005
746
;  VPI_COMPATIBILITY_VERSION_1800v2005
747
;  VPI_COMPATIBILITY_VERSION_1800v2008
748
;
749
; Synonyms for each string are also recognized:
750
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
751
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
752
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
753
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
754
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
755
 
756
 
757
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
758
 
759
; Specify default options for the restart command. Options can be one
760
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
761
; DefaultRestartOptions = -force
762
 
763
; Turn on (1) or off (0) WLF file compression.
764
; The default is 1 (compress WLF file).
765
; WLFCompress = 0
766
 
767
; Specify whether to save all design hierarchy (1) in the WLF file
768
; or only regions containing logged signals (0).
769
; The default is 0 (save only regions with logged signals).
770
; WLFSaveAllRegions = 1
771
 
772
; WLF file time limit.  Limit WLF file by time, as closely as possible,
773
; to the specified amount of simulation time.  When the limit is exceeded
774
; the earliest times get truncated from the file.
775
; If both time and size limits are specified the most restrictive is used.
776
; UserTimeUnits are used if time units are not specified.
777
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
778
; WLFTimeLimit = 0
779
 
780
; WLF file size limit.  Limit WLF file size, as closely as possible,
781
; to the specified number of megabytes.  If both time and size limits
782
; are specified then the most restrictive is used.
783
; The default is 0 (no limit).
784
; WLFSizeLimit = 1000
785
 
786
; Specify whether or not a WLF file should be deleted when the
787
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
788
; The default is 0 (do not delete WLF file when simulation ends).
789
; WLFDeleteOnQuit = 1
790
 
791
; Specify whether or not a WLF file should be indexed during
792
; simulation.  If set to 0, the WLF file will not be indexed.
793
; The default is 1, indexed the WLF file.
794
; WLFIndex = 0
795
 
796
; Specify whether or not a WLF file should be optimized during
797
; simulation.  If set to 0, the WLF file will not be optimized.
798
; The default is 1, optimize the WLF file.
799
; WLFOptimize = 0
800
 
801
; Specify the name of the WLF file.
802
; The default is vsim.wlf
803
; WLFFilename = vsim.wlf
804
 
805
; Specify the WLF reader cache size limit for each open WLF file.
806
; The size is giving in megabytes.  A value of 0 turns off the
807
; WLF cache.
808
; WLFSimCacheSize allows a different cache size to be set for
809
; simulation WLF file independent of post-simulation WLF file
810
; viewing.  If WLFSimCacheSize is not set it defaults to the
811
; WLFCacheSize setting.
812
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
813
; WLFCacheSize = 2000
814
; WLFSimCacheSize = 500
815
 
816
; Specify the WLF file event collapse mode.
817
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
818
; 1 = Only record values of logged objects at the end of a simulator iteration.
819
;     (same as -wlfcollapsedelta)
820
; 2 = Only record values of logged objects at the end of a simulator time step.
821
;     (same as -wlfcollapsetime)
822
; The default is 1.
823
; WLFCollapseMode = 0
824
 
825
; Specify whether WLF file logging can use threads on multi-processor machines
826
; if 0, no threads will be used, if 1, threads will be used if the system has
827
; more than one processor
828
; WLFUseThreads = 1
829
 
830
; Turn on/off undebuggable SystemC type warnings. Default is on.
831
; ShowUndebuggableScTypeWarning = 0
832
 
833
; Turn on/off unassociated SystemC name warnings. Default is off.
834
; ShowUnassociatedScNameWarning = 1
835
 
836
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
837
; ScShowIeeeDeprecationWarnings = 1
838
 
839
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
840
; ScEnableScSignalWriteCheck = 1
841
 
842
; Set SystemC default time unit.
843
; Set to fs, ps, ns, us, ms, or sec with optional
844
; prefix of 1, 10, or 100.  The default is 1 ns.
845
; The ScTimeUnit value is honored if it is coarser than Resolution.
846
; If ScTimeUnit is finer than Resolution, it is set to the value
847
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
848
; then the default time unit will be 1 ns.  However if Resolution
849
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
850
ScTimeUnit = ns
851
 
852
; Set SystemC sc_main stack size. The stack size is set as an integer
853
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
854
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
855
; on the amount of data on the sc_main() stack and the memory required
856
; to succesfully execute the longest function call chain of sc_main().
857
ScMainStackSize = 10 Mb
858
 
859
; Turn on/off execution of remainder of sc_main upon quitting the current
860
; simulation session. If the cumulative length of sc_main() in terms of
861
; simulation time units is less than the length of the current simulation
862
; run upon quit or restart, sc_main() will be in the middle of execution.
863
; This switch gives the option to execute the remainder of sc_main upon
864
; quitting simulation. The drawback of not running sc_main till the end
865
; is memory leaks for objects created by sc_main. If on, the remainder of
866
; sc_main will be executed ignoring all delays. This may cause the simulator
867
; to crash if the code in sc_main is dependent on some simulation state.
868
; Default is on.
869
ScMainFinishOnQuit = 1
870
 
871
; Set the SCV relationship name that will be used to identify phase
872
; relations.  If the name given to a transactor relation matches this
873
; name, the transactions involved will be treated as phase transactions
874
ScvPhaseRelationName = mti_phase
875
 
876
; Customize the vsim kernel shutdown behavior at the end of the simulation.
877
; Some common causes of the end of simulation are $finish (implicit or explicit),
878
; sc_stop(), tf_dofinish(), and assertion failures.
879
; This should be set to "ask", "exit", or "stop". The default is "ask".
880
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
881
;            In GUI mode, a dialog box will pop up and ask for user confirmation
882
;            whether or not to quit the simulation.
883
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
884
;            post-simulation tasks easier.
885
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
886
; "final" -- Run SystemVerilog final blocks then behave as "stop".
887
; Note: these ini variables can be overriden by the vsim command
888
;       line switch "-onfinish ".
889
OnFinish = ask
890
 
891
; Print pending deferred assertion messages.
892
; Deferred assertion messages may be scheduled after the $finish in the same
893
; time step. Deferred assertions scheduled to print after the $finish are
894
; printed before exiting with severity level NOTE since it's not known whether
895
; the assertion is still valid due to being printed in the active region
896
; instead of the reactive region where they are normally printed.
897
; OnFinishPendingAssert = 1;
898
 
899
; Print "simstats" result at the end of simulation before shutdown.
900
; If this is enabled, the simstats result will be printed out before shutdown.
901
; The default is off.
902
; PrintSimStats = 1
903
 
904
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
905
; AssertFile = assert.log
906
 
907
; Run simulator in assertion debug mode. Default is off.
908
; AssertionDebug = 1
909
 
910
; Turn on/off PSL/SVA concurrent assertion pass enable.
911
; For SVA, Default is on when the assertion has a pass action block, or
912
; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
913
; For PSL, Default is on only when vsim switch "-assertdebug" is used
914
; and the vopt "+acc=a" flag is active.
915
; AssertionPassEnable = 0
916
 
917
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
918
; AssertionFailEnable = 0
919
 
920
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
921
; Any positive integer, -1 for infinity.
922
; AssertionPassLimit = 1
923
 
924
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
925
; Any positive integer, -1 for infinity.
926
; AssertionFailLimit = 1
927
 
928
; Turn on/off PSL concurrent assertion pass log. Default is off.
929
; The flag does not affect SVA
930
; AssertionPassLog = 1
931
 
932
; Turn on/off PSL concurrent assertion fail log. Default is on.
933
; The flag does not affect SVA
934
; AssertionFailLog = 0
935
 
936
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
937
; AssertionFailLocalVarLog = 0
938
 
939
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
940
; 0 = Continue  1 = Break  2 = Exit
941
; AssertionFailAction = 1
942
 
943
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
944
; AssertionActiveThreadMonitor = 1
945
 
946
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
947
; AssertionActiveThreadMonitorLimit = 5
948
 
949
 
950
; As per strict 1850-2005 PSL LRM, an always property can either pass
951
; or fail. However, by default, Questa reports multiple passes and
952
; multiple fails on top always property (always operator is the top
953
; operator under Verification Directive). The reason being that Questa
954
; reports passes and fails on per attempt of the top always property.
955
; This is also true for top never property. Use the following flag to
956
; instruct Questa to strictly follow LRM. With this flag, all
957
; assert/assume directives will start an attempt once at start of
958
; simulation. The attempt can either fail, match or match vacuously.
959
; For e.g. if always is the top operator under assert, the always will
960
; keep on checking the property at every clock. If the property under
961
; always fails, the directive will be considered failed and no more
962
; checking will be done for that directive. A top always property,
963
; if it does not fail, will show a pass at end of simulation.
964
; The default value is '0' (i.e. zero is off). For example:
965
; PslOneAttempt = 1
966
 
967
; Specify the number of clock ticks to represent infinite clock ticks.
968
; This affects eventually!, until! and until_!. If at End of Simulation
969
; (EOS) an active strong-propertie has not clocked this number of
970
; clock ticks then neither pass or fail (vacuous match) is returned
971
; else respective fail/pass is returned. The default value is '0' (zero)
972
; which effictively does not check for clock tick condition. For example:
973
; PslInfinityThreshold = 5000
974
 
975
; Control how many thread start times will be preserved for ATV viewing for a given assertion
976
; instance.  Default is -1 (ALL).
977
; ATVStartTimeKeepCount = -1
978
 
979
; Turn on/off code coverage
980
; CodeCoverage = 0
981
 
982
; Count all code coverage condition and expression truth table rows that match.
983
; CoverCountAll = 1
984
 
985
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
986
; is to include them.
987
; ToggleNoIntegers = 1
988
 
989
; Set the maximum number of values that are collected for toggle coverage of
990
; VHDL integers. Default is 100;
991
; ToggleMaxIntValues = 100
992
 
993
; Set the maximum number of values that are collected for toggle coverage of
994
; Verilog real. Default is 100;
995
; ToggleMaxRealValues = 100
996
 
997
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
998
; for enumeration types. Default is to include them.
999
; ToggleVlogIntegers = 0
1000
 
1001
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1002
; for shortreal types. Default is to not include them.
1003
; ToggleVlogReal = 1
1004
 
1005
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
1006
; Default is to not include them.
1007
; ToggleFixedSizeArray = 1
1008
 
1009
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
1010
; are included for toggle coverage. This leads to a longer simulation time with bigger
1011
; arrays covered with toggle coverage. Default is 1024.
1012
; ToggleMaxFixedSizeArray = 1024
1013
 
1014
; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
1015
; TogglePackedAsVec = 0
1016
 
1017
; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
1018
; ToggleVlogEnumBits = 0
1019
 
1020
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1021
; For unlimited width, set to 0.
1022
; ToggleWidthLimit = 128
1023
 
1024
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1025
; reached this count, further activity on the bit is ignored. Default is 1.
1026
; For unlimited counts, set to 0.
1027
; ToggleCountLimit = 1
1028
 
1029
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1030
; CoverEnable = 0
1031
 
1032
; Turn on/off PSL/SVA cover log.  Default is off "0".
1033
; CoverLog = 1
1034
 
1035
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1036
; CoverAtLeast = 2
1037
 
1038
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1039
; Any positive integer, -1 for infinity.
1040
; CoverLimit = 1
1041
 
1042
; Specify the coverage database filename.
1043
; Default is "" (i.e. database is NOT automatically saved on close).
1044
; UCDBFilename = vsim.ucdb
1045
 
1046
; Specify the maximum limit for the number of Cross (bin) products reported
1047
; in XML and UCDB report against a Cross. A warning is issued if the limit
1048
; is crossed.
1049
; MaxReportRhsSVCrossProducts = 1000
1050
 
1051
; Specify the override for the "auto_bin_max" option for the Covergroups.
1052
; If not specified then value from Covergroup "option" is used.
1053
; SVCoverpointAutoBinMax = 64
1054
 
1055
; Specify the override for the value of "cross_num_print_missing"
1056
; option for the Cross in Covergroups. If not specified then value
1057
; specified in the "option.cross_num_print_missing" is used. This
1058
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1059
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1060
; specified in modelsim.ini.
1061
; SVCrossNumPrintMissing = 0
1062
 
1063
; Specify whether to use the value of "cross_num_print_missing"
1064
; option in report and GUI for the Cross in Covergroups. If not specified then
1065
; cross_num_print_missing is ignored for creating reports and displaying
1066
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1067
; UseSVCrossNumPrintMissing = 0
1068
 
1069
; Specify the override for the value of "strobe" option for the
1070
; Covergroup Type. If not specified then value in "type_option.strobe"
1071
; will be used. This is runtime option which forces "strobe" to
1072
; user specified value and supersedes user specified values in the
1073
; SystemVerilog Code. NOTE: This also overrides the compile time
1074
; default value override specified using "SVCovergroupStrobeDefault"
1075
; SVCovergroupStrobe = 0
1076
 
1077
; Override for explicit assignments in source code to "option.goal" of
1078
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1079
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1080
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1081
; SVCovergroupGoal = 100
1082
 
1083
; Override for explicit assignments in source code to "type_option.goal" of
1084
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1085
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1086
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1087
; SVCovergroupTypeGoal = 100
1088
 
1089
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1090
; builtin functions, and report. This setting changes the default values of
1091
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1092
; behavior if explicit assignments are not made on option.get_inst_coverage and
1093
; type_option.merge_instances by the user. There are two vsim command line
1094
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1095
; The default value of this variable is 1
1096
; SVCovergroup63Compatibility = 1
1097
 
1098
; Enable or disable generation of more detailed information about the sampling
1099
; of covergroup, cross, and coverpoints. It provides the details of the number
1100
; of times the covergroup instance and type were sampled, as well as details
1101
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1102
; is to enable this feature. 0 is to disable this feature. Default is 0
1103
; SVCovergroupSampleInfo = 0
1104
 
1105
; Specify the maximum number of Coverpoint bins in whole design for
1106
; all Covergroups.
1107
; MaxSVCoverpointBinsDesign = 2147483648
1108
 
1109
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1110
; MaxSVCoverpointBinsInst = 2147483648
1111
 
1112
; Specify the maximum number of Cross bins in whole design for
1113
; all Covergroups.
1114
; MaxSVCrossBinsDesign = 2147483648
1115
 
1116
; Specify maximum number of Cross bins in any instance of a Covergroup
1117
; MaxSVCrossBinsInst = 2147483648
1118
 
1119
; Set weight for all PSL/SVA cover directives.  Default is 1.
1120
; CoverWeight = 2
1121
 
1122
; Check vsim plusargs.  Default is 0 (off).
1123
; 0 = Don't check plusargs
1124
; 1 = Warning on unrecognized plusarg
1125
; 2 = Error and exit on unrecognized plusarg
1126
; CheckPlusargs = 1
1127
 
1128
; Load the specified shared objects with the RTLD_GLOBAL flag.
1129
; This gives global visibility to all symbols in the shared objects,
1130
; meaning that subsequently loaded shared objects can bind to symbols
1131
; in the global shared objects.  The list of shared objects should
1132
; be whitespace delimited.  This option is not supported on the
1133
; Windows or AIX platforms.
1134
; GlobalSharedObjectList = example1.so example2.so example3.so
1135
 
1136
; Run the 0in tools from within the simulator.
1137
; Default is off.
1138
; ZeroIn = 1
1139
 
1140
; Set the options to be passed to the 0in runtime tool.
1141
; Default value set to "".
1142
; ZeroInOptions = ""
1143
 
1144
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
1145
; Sv_Seed = 0
1146
 
1147
; Maximum size of dynamic arrays that are resized during randomize().
1148
; The default is 1000. A value of 0 indicates no limit.
1149
; SolveArrayResizeMax = 1000
1150
 
1151
; Error message severity when randomize() failure is detected (SystemVerilog).
1152
; The default is 0 (no error).
1153
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1154
; SolveFailSeverity = 0
1155
 
1156
; Enable/disable debug information for randomize() failures (SystemVerilog).
1157
; The default is 0 (disabled). Set to 1 to enable.
1158
; SolveFailDebug = 0
1159
 
1160
; When SolveFailDebug is enabled, this value specifies the algorithm used to
1161
; discover conflicts between constraints for randomize() failures.
1162
; The default is "many".
1163
;
1164
; Valid schemes are:
1165
;    "many" = best for determining conflicts due to many related constraints
1166
;    "few"  = best for determining conflicts due to few related constraints
1167
;
1168
; SolveFailDebugScheme = many
1169
 
1170
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1171
; specifies the maximum number of constraint subsets that will be tested for
1172
; conflicts.
1173
; The default is 0 (no limit).
1174
; SolveFailDebugLimit = 0
1175
 
1176
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
1177
; specifies the maximum size of constraint subsets that will be tested for
1178
; conflicts.
1179
; The default value is 0 (no limit).
1180
; SolveFailDebugMaxSet = 0
1181
 
1182
; Maximum size of the solution graph that may be generated during randomize().
1183
; This value can be used to force randomize() to abort if the memory
1184
; requirements of the constraint scenario exceeds the specified limit. This
1185
; value is specified in 1000s of nodes.
1186
; The default is 10000. A value of 0 indicates no limit.
1187
; SolveGraphMaxSize = 10000
1188
 
1189
; Maximum number of evaluations that may be performed on the solution graph
1190
; generated during randomize(). This value can be used to force randomize() to
1191
; abort if the complexity of the constraint scenario (in time) exceeds the
1192
; specified limit. This value is specified in 10000s of evaluations.
1193
; The default is 10000. A value of 0 indicates no limit.
1194
; SolveGraphMaxEval = 10000
1195
 
1196
; Use SolveFlags to specify options that will guide the behavior of the
1197
; constraint solver. These options may improve the performance of the
1198
; constraint solver for some testcases, and decrease the performance of
1199
; the constraint solver for others.
1200
; The default value is "" (no options).
1201
;
1202
; Valid flags are:
1203
;    i = disable bit interleaving for >, >=, <, <= constraints
1204
;    n = disable bit interleaving for all constraints
1205
;    r = reverse bit interleaving
1206
;
1207
; SolveFlags =
1208
 
1209
; Specify random sequence compatiblity with a prior letter release. This
1210
; option is used to get the same random sequences during simulation as
1211
; as a prior letter release. Only prior letter releases (of the current
1212
; number release) are allowed.
1213
; Note: To achieve the same random sequences, solver optimizations and/or
1214
; bug fixes introduced since the specified release may be disabled -
1215
; yielding the performance / behavior of the prior release.
1216
; Default value set to "" (random compatibility not required).
1217
; SolveRev =
1218
 
1219
; Environment variable expansion of command line arguments has been depricated
1220
; in favor shell level expansion.  Universal environment variable expansion
1221
; inside -f files is support and continued support for MGC Location Maps provide
1222
; alternative methods for handling flexible pathnames.
1223
; The following line may be uncommented and the value set to 1 to re-enable this
1224
; deprecated behavior.  The default value is 0.
1225
; DeprecatedEnvironmentVariableExpansion = 0
1226
 
1227
; Turn on/off collapsing of bus ports in VCD dumpports output
1228
DumpportsCollapse = 1
1229
 
1230
; Location of Multi-Level Verification Component (MVC) installation.
1231
; The default location is the product installation directory.
1232
; MvcHome = $MODEL_TECH/...
1233
 
1234
[lmc]
1235
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1236
libsm = $MODEL_TECH/libsm.sl
1237
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1238
; libsm = $MODEL_TECH/libsm.dll
1239
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1240
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1241
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1242
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1243
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1244
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1245
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1246
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1247
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1248
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1249
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1250
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1251
 
1252
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1253
libhm = $MODEL_TECH/libhm.sl
1254
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1255
; libhm = $MODEL_TECH/libhm.dll
1256
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1257
; libsfi = /lib/hp700/libsfi.sl
1258
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1259
; libsfi = /lib/rs6000/libsfi.a
1260
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1261
; libsfi = /lib/sun4.solaris/libsfi.so
1262
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1263
; libsfi = /lib/pcnt/lm_sfi.dll
1264
;  Logic Modeling's hardware modeler SFI software (Linux)
1265
; libsfi = /lib/linux/libsfi.so
1266
 
1267
[msg_system]
1268
; Change a message severity or suppress a message.
1269
; The format is:  = [,...]
1270
; suppress can be used to achieve +nowarn functionality
1271
; The format is: suppress = ,,[,,...]
1272
; Examples:
1273
;   note = 3009
1274
;   warning = 3033
1275
;   error = 3010,3016
1276
;   fatal = 3016,3033
1277
;   suppress = 3009,3016,3043
1278
;   suppress = 3009,CNNODP,3043,TFMPC
1279
; The command verror  can be used to get the complete
1280
; description of a message.
1281
 
1282
; Control transcripting of Verilog display system task messages and
1283
; PLI/FLI print function call messages.  The system tasks include
1284
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1285
; also include the analogous file I/O tasks that write to STDOUT
1286
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1287
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1288
; is to have messages appear only in the transcript.  The other
1289
; settings are to send messages to the wlf file only (messages that
1290
; are recorded in the wlf file can be viewed in the MsgViewer) or
1291
; to both the transcript and the wlf file.  The valid values are
1292
;    tran  {transcript only (default)}
1293
;    wlf   {wlf file only}
1294
;    both  {transcript and wlf file}
1295
; displaymsgmode = tran
1296
 
1297
; Control transcripting of elaboration/runtime messages not
1298
; addressed by the displaymsgmode setting.  The default is to
1299
; have messages appear in the transcript and recorded in the wlf
1300
; file (messages that are recorded in the wlf file can be viewed
1301
; in the MsgViewer).  The other settings are to send messages
1302
; only to the transcript or only to the wlf file.  The valid
1303
; values are
1304
;    both  {default}
1305
;    tran  {transcript only}
1306
;    wlf   {wlf file only}
1307
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.