OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [benches/] [TB_Ander.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 alimpk
 
2
LIBRARY ieee;
3
USE ieee.std_logic_1164.ALL;
4
 
5
ENTITY TB_Ander IS
6
END TB_Ander;
7
 
8
ARCHITECTURE behavior OF TB_Ander IS
9
 
10
    -- Component Declaration for the Unit Under Test (UUT)
11
 
12
    COMPONENT Ander
13
    PORT(
14
         input1 : IN  std_logic;
15
         input2 : IN  std_logic_vector(7 downto 0);
16
         result : OUT  std_logic_vector(7 downto 0)
17
        );
18
    END COMPONENT;
19
 
20
 
21
   --Inputs
22
   signal input1 : std_logic := '0';
23
   signal input2 : std_logic_vector(7 downto 0) := (others=>'0');
24
 
25
        --Outputs
26
   signal result : std_logic_vector(7 downto 0);
27
   -- No clocks detected in port list. Replace <clock> below with 
28
   -- appropriate port name 
29
 
30
BEGIN
31
 
32
        -- Instantiate the Unit Under Test (UUT)
33
   uut: Ander PORT MAP (
34
          input1 => input1,
35
          input2 => input2,
36
          result => result
37
        );
38
        input2 <= X"58";
39
        input1 <= '1' after 20 ns;
40
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.