OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [benches/] [TB_Register.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 alimpk
 
2
LIBRARY ieee;
3
USE ieee.std_logic_1164.ALL;
4
 
5
ENTITY TB_Register IS
6
END TB_Register;
7
 
8
ARCHITECTURE behavior OF TB_Register IS
9
 
10
    COMPONENT Regeister
11
    PORT(
12
         clock : IN  std_logic;
13
         enable : IN  std_logic;
14
         reset : IN  std_logic;
15
         din : IN  std_logic_vector(7 downto 0);
16
         dout : OUT  std_logic_vector(7 downto 0)
17
        );
18
    END COMPONENT;
19
 
20
 
21
   signal clock : std_logic := '0';
22
   signal enable : std_logic := '0';
23
   signal reset : std_logic := '0';
24
   signal din : std_logic_vector(7 downto 0) ;
25
 
26
   signal dout : std_logic_vector(7 downto 0);
27
 
28
   constant clock_period : time := 10 ns;
29
 
30
BEGIN
31
 
32
        -- Instantiate the Unit Under Test (UUT)
33
   uut: Regeister PORT MAP (
34
          clock => clock,
35
          enable => enable,
36
          reset => reset,
37
          din => din,
38
          dout => dout
39
        );
40
 
41
   -- Clock process definitions
42
   clock_process :process
43
   begin
44
                clock <= '0';
45
                wait for clock_period/2;
46
                clock <= '1';
47
                wait for clock_period/2;
48
   end process;
49
        reset <= '1' after clock_period/2 ,'0' after clock_period*3/2;
50
        enable<= '1' after clock_period*2 ,'0' after clock_period*7;
51
        din     <= X"05" after clock_period ,
52
                                X"0A" after clock_period*2,
53
                                X"1A" after clock_period*3,
54
                                X"2A" after clock_period*4,
55
                                X"3A" after clock_period*5,
56
                                X"4A" after clock_period*6,
57
                                X"5A" after clock_period*7,
58
                                X"6B" after clock_period*8;
59
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.