OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.Alu.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alimpk
 
2
library IEEE;
3
use IEEE.STD_LOGIC_1164.ALL;
4
 
5
entity Alu is
6
        port(
7
                A       : in  std_logic_vector;
8
                B       : in  std_logic_vector;
9
                op      : in  std_logic;
10
                S       : out std_logic_vector);
11
end Alu;
12
 
13
architecture Behavioral of Alu is
14
        component Adder is
15
                port(
16
                        A               : in  std_logic_vector;
17
                        B               : in  std_logic_vector;
18
                        Cin     : in  std_logic;
19
                        S               : out std_logic_vector;
20
                        Cout    : out std_logic);
21
        end component;
22
 
23
        component XorCrearor is
24
                port(
25
                        input1 : in      std_logic;
26
                        input2 : in  std_logic_vector;
27
                        result : out std_logic_vector);
28
        end component;
29
        signal xored: std_logic_vector(A'range);
30
begin
31
        XO :XorCrearor port map(op,B,xored);
32
        ADD:ADDER port map(A,xored,op,S,open);
33
 
34
 
35
end Behavioral;
36
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.