OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.BoothEncoder.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alimpk
 
2
library IEEE;
3
use IEEE.STD_LOGIC_1164.ALL;
4
 
5
entity BoothEncoder is
6
        port(
7
                input1  : in    std_logic;
8
                input0  : in    std_logic;
9
                operator : out std_logic;
10
                product : out   std_logic
11
                );
12
end BoothEncoder;
13
 
14
architecture Behavioral of BoothEncoder is
15
 
16
begin
17
        product         <= input1 xor input0 ;
18
        operator <=     input1;
19
end Behavioral;
20
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.