OpenCores
URL https://opencores.org/ocsvn/gfir/gfir/trunk

Subversion Repositories gfir

[/] [gfir/] [trunk/] [sc/] [firTF.cpp] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ahmed.shah
#include "systemc.h"    // for systemc
2
#include <stdio.h>      // for io interfacing with c
3
#include <stdlib.h>     // for executing linux command within c code
4
#include "firTF.h"
5
#include "stimuli.h"
6
 
7
int sc_main(int argc, char* argv[])
8
{
9
    // Testing Internal Signal
10
    sc_clock fir_clk("fir_clk", 10, SC_NS);     // CLOCK
11
    sc_signal<bool > fir_clr;                   // RESET
12
    sc_signal<sc_uint<1> > fir_in;              // INPUT
13
    sc_signal<sc_int<15> > fir_out;             // OUTPUT
14
 
15
    firTF DUT("firTF");
16
    DUT.fir_clr(fir_clr);
17
    DUT.fir_clk(fir_clk);
18
    DUT.fir_in(fir_in);
19
    DUT.fir_out(fir_out);
20
 
21
    stimuli inputVector("stimuli");
22
    inputVector.clr(fir_clr);
23
    inputVector.clk(fir_clk);
24
    inputVector.streamout(fir_in);
25
 
26
        sc_trace_file *fp;
27
        fp = sc_create_vcd_trace_file("wave");
28
        fp -> set_time_unit(100, SC_PS);
29
 
30
    sc_trace(fp, fir_clr, "fir_clr");
31
        sc_trace(fp, fir_clk, "fir_clk");
32
        sc_trace(fp, fir_in, "fir_in");
33
        sc_trace(fp, fir_out, "fir_out");
34
        //sc_trace(fp, DUT.multi_add[0], "multi_add[0]");
35
        //sc_trace(fp, DUT.multi_add[1], "multi_add[1]");
36
        //sc_trace(fp, DUT.multi_add[2], "multi_add[2]");
37
        //sc_trace(fp, DUT.add_delay[0], "add_delay[0]");
38
        //sc_trace(fp, DUT.add_delay[1], "add_delay[1]");
39
        //sc_trace(fp, DUT.delay_add[0], "delay_add[0]");
40
        //sc_trace(fp, DUT.delay_add[1], "delay_add[1]");
41
 
42
    fir_clr = true;
43
        sc_start(20, SC_NS);
44
        fir_clr = false;
45
        sc_start(410, SC_NS);
46
 
47
        sc_close_vcd_trace_file(fp);
48
 
49
    //system("more fir_output.txt");
50
    //system("rm fir_output.txt");
51
 
52
        return 0;
53
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.