OpenCores
URL https://opencores.org/ocsvn/gfir/gfir/trunk

Subversion Repositories gfir

[/] [gfir/] [trunk/] [vhdl/] [help/] [html/] [classfir__filter__stage_1_1struct.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ahmed.shah
<!-- This comment will put IE 6, 7 and 8 in quirks mode -->
2
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3
<html xmlns="http://www.w3.org/1999/xhtml">
4
<head>
5
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
6
<title>FIR Digital Filter: struct Architecture  Reference</title>
7
<link href="tabs.css" rel="stylesheet" type="text/css"/>
8
<link href="search/search.css" rel="stylesheet" type="text/css"/>
9
<script type="text/javaScript" src="search/search.js"></script>
10
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
11
</head>
12
<body onload='searchBox.OnSelectItem(0);'>
13
<!-- Generated by Doxygen 1.6.3 -->
14
<script type="text/javascript"><!--
15
var searchBox = new SearchBox("searchBox", "search",false,'Search');
16
--></script>
17
<div class="navigation" id="top">
18
  <div class="tabs">
19
    <ul>
20
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
21
      <li class="current"><a href="annotated.html"><span>Design&nbsp;Unit&nbsp;List</span></a></li>
22
      <li><a href="files.html"><span>Files</span></a></li>
23
      <li>
24
        <div id="MSearchBox" class="MSearchBoxInactive">
25
        <img id="MSearchSelect" src="search/search.png"
26
             onmouseover="return searchBox.OnSearchSelectShow()"
27
             onmouseout="return searchBox.OnSearchSelectHide()"
28
             alt=""/>
29
        <input type="text" id="MSearchField" value="Search" accesskey="S"
30
             onfocus="searchBox.OnSearchFieldFocus(true)"
31
             onblur="searchBox.OnSearchFieldFocus(false)"
32
             onkeyup="searchBox.OnSearchFieldChange(event)"/>
33
        <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
34
        </div>
35
      </li>
36
    </ul>
37
  </div>
38
  <div class="tabs">
39
    <ul>
40
      <li><a href="annotated.html"><span>Class&nbsp;List</span></a></li>
41
      <li><a href="hierarchy.html"><span>Design&nbsp;Unit&nbsp;Hierarchy</span></a></li>
42
      <li><a href="functions.html"><span>Design&nbsp;Unit&nbsp;Members</span></a></li>
43
    </ul>
44
  </div>
45
  <div class="navpath"><a class="el" href="classfir__filter__stage.html">fir_filter_stage</a>.<a class="el" href="classfir__filter__stage_1_1struct.html">struct</a>
46
  </div>
47
</div>
48
<div class="contents">
49
<h1>struct Architecture Reference</h1><!-- doxytag: class="fir_filter_stage::struct" --><!-- doxytag: inherits="multiplier_gen,delay_gen,adder_gen" --><div class="dynheader">
50
Inheritance diagram for struct:</div>
51
<div class="dynsection">
52
 <div class="center">
53
  <img src="classfir__filter__stage_1_1struct.png" usemap="#struct_map" alt=""/>
54
  <map id="struct_map" name="struct_map">
55
<area href="classmultiplier__gen.html" alt="multiplier_gen" shape="rect" coords="0,56,93,80"/>
56
<area href="classdelay__gen.html" alt="delay_gen" shape="rect" coords="103,56,196,80"/>
57
<area href="classadder__gen.html" alt="adder_gen" shape="rect" coords="206,56,299,80"/>
58
<area href="classmultiplier__gen_1_1behave.html" alt="behave" shape="rect" coords="0,0,93,24"/>
59
<area href="classdelay__gen_1_1behave.html" alt="behave" shape="rect" coords="103,0,196,24"/>
60
<area href="classadder__gen_1_1behave.html" alt="behave" shape="rect" coords="206,0,299,24"/>
61
<area href="classfir__filter__stage.html" alt="fir_filter_stage" shape="rect" coords="103,168,196,192"/>
62
</map>
63
 </div>
64
</div>
65
 
66
<p><a href="classfir__filter__stage_1_1struct-members.html">List of all members.</a></p>
67
<table border="0" cellpadding="0" cellspacing="0">
68
<br/>
69
<br/>
70
<tr><td colspan="2"><h2>Components</h2></td></tr>
71
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a6f9e1a961a64ab0041ac0707ee40c821"></a><!-- doxytag: member="fir_filter_stage::struct::multiplier_gen" ref="a6f9e1a961a64ab0041ac0707ee40c821" args="" -->
72
<a class="el" href="classfir__filter__stage_1_1struct.html#a6f9e1a961a64ab0041ac0707ee40c821">multiplier_gen</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b></b>  <em><a class="el" href="classmultiplier__gen.html">&lt;Entity multiplier_gen&gt; </a></em></td></tr>
73
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab3cadb9f82a00f0361b33c19dff6ae52"></a><!-- doxytag: member="fir_filter_stage::struct::adder_gen" ref="ab3cadb9f82a00f0361b33c19dff6ae52" args="" -->
74
<a class="el" href="classfir__filter__stage_1_1struct.html#ab3cadb9f82a00f0361b33c19dff6ae52">adder_gen</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b></b>  <em><a class="el" href="classadder__gen.html">&lt;Entity adder_gen&gt; </a></em></td></tr>
75
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a924bfda8c3c384807bfb8ae15d7953ba"></a><!-- doxytag: member="fir_filter_stage::struct::delay_gen" ref="a924bfda8c3c384807bfb8ae15d7953ba" args="" -->
76
<a class="el" href="classfir__filter__stage_1_1struct.html#a924bfda8c3c384807bfb8ae15d7953ba">delay_gen</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b></b>  <em><a class="el" href="classdelay__gen.html">&lt;Entity delay_gen&gt; </a></em></td></tr>
77
<tr><td colspan="2"><h2>Constants</h2></td></tr>
78
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a330ffb2824b41caf8498abff7e518e47"></a><!-- doxytag: member="fir_filter_stage::struct::coeff" ref="a330ffb2824b41caf8498abff7e518e47" args="" -->
79
<a class="el" href="classfir__filter__stage_1_1struct.html#a330ffb2824b41caf8498abff7e518e47">coeff</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="vhdlchar">int_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">:</span><span class="vhdlchar">=</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__pkg.html#a486aad2342db0548481997fb31149609">fir_coeff_thirdstage</a></b> <span class="vhdlchar"> </span></b></td></tr>
80
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Filter coefficients defined in the <a class="el" href="fir__pkg_8vhd.html">fir_pkg.vhd</a>. <br/></td></tr>
81
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="adaa2b6c5cbc1def261e9acc0c3c7007c"></a><!-- doxytag: member="fir_filter_stage::struct::width_in" ref="adaa2b6c5cbc1def261e9acc0c3c7007c" args="" -->
82
<a class="el" href="classfir__filter__stage_1_1struct.html#adaa2b6c5cbc1def261e9acc0c3c7007c">width_in</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="vhdlkeyword">natural</span><span class="vhdlchar"> </span><span class="vhdlchar">:</span><span class="vhdlchar">=</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage.html#ab508794e1ce35c2946d28703f4ebca9f">fir_in</a></b> <span class="vhdlchar"> </span><span class="vhdlchar">'</span><span class="vhdlchar"> </span><span class="vhdlchar">length</span><span class="vhdlchar"> </span></b></td></tr>
83
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Input bit-width. <br/></td></tr>
84
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a165792aa281447ef621e7ddd34725db6"></a><!-- doxytag: member="fir_filter_stage::struct::width_out" ref="a165792aa281447ef621e7ddd34725db6" args="" -->
85
<a class="el" href="classfir__filter__stage_1_1struct.html#a165792aa281447ef621e7ddd34725db6">width_out</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="vhdlkeyword">natural</span><span class="vhdlchar"> </span><span class="vhdlchar">:</span><span class="vhdlchar">=</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage.html#ae7fe87ee8521c3fce91172c78537df25">fir_out</a></b> <span class="vhdlchar"> </span><span class="vhdlchar">'</span><span class="vhdlchar"> </span><span class="vhdlchar">length</span><span class="vhdlchar"> </span></b></td></tr>
86
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Output bit-width. <br/></td></tr>
87
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a339f911ca5cb1a8748960755c77bc225"></a><!-- doxytag: member="fir_filter_stage::struct::width_const" ref="a339f911ca5cb1a8748960755c77bc225" args="" -->
88
<a class="el" href="classfir__filter__stage_1_1struct.html#a339f911ca5cb1a8748960755c77bc225">width_const</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="vhdlkeyword">positive</span><span class="vhdlchar"> </span><span class="vhdlchar">:</span><span class="vhdlchar">=</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__pkg.html#a9412e753e9f662ae363ff33d552c55ce">quantization</a></b> <span class="vhdlchar"> </span></b></td></tr>
89
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Quantization bit-width defined in the <a class="el" href="fir__pkg_8vhd.html">fir_pkg.vhd</a>. <br/></td></tr>
90
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ae89b33d7dfd2fe34210bd34e95eff9e4"></a><!-- doxytag: member="fir_filter_stage::struct::order" ref="ae89b33d7dfd2fe34210bd34e95eff9e4" args="" -->
91
<a class="el" href="classfir__filter__stage_1_1struct.html#ae89b33d7dfd2fe34210bd34e95eff9e4">order</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="vhdlkeyword">natural</span><span class="vhdlchar"> </span><span class="vhdlchar">:</span><span class="vhdlchar">=</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage_1_1struct.html#a330ffb2824b41caf8498abff7e518e47">coeff</a></b> <span class="vhdlchar"> </span><span class="vhdlchar">'</span><span class="vhdlchar"> </span><span class="vhdlchar">length</span><span class="vhdlchar"> </span></b></td></tr>
92
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Filter length. <br/></td></tr>
93
<tr><td colspan="2"><h2>Signals</h2></td></tr>
94
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a4205437c41382c6434ab00bb83e1a3f7"></a><!-- doxytag: member="fir_filter_stage::struct::multi_add" ref="a4205437c41382c6434ab00bb83e1a3f7" args="" -->
95
<a class="el" href="classfir__filter__stage_1_1struct.html#a4205437c41382c6434ab00bb83e1a3f7">multi_add</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage_1_1struct.html#ae89b33d7dfd2fe34210bd34e95eff9e4">order</a></b> <span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span><span class="vhdlchar">*</span><b><a class="el" href="classfir__filter__stage_1_1struct.html#a165792aa281447ef621e7ddd34725db6">width_out</a></b> <span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
96
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Internal signal holding multiplier's outputs and adder's inputs. <br/></td></tr>
97
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a862700b81c83534570de1c1c8febd189"></a><!-- doxytag: member="fir_filter_stage::struct::add_delay" ref="a862700b81c83534570de1c1c8febd189" args="" -->
98
<a class="el" href="classfir__filter__stage_1_1struct.html#a862700b81c83534570de1c1c8febd189">add_delay</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage_1_1struct.html#ae89b33d7dfd2fe34210bd34e95eff9e4">order</a></b> <span class="vhdlchar">-</span><span class="vhdldigit">2</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span><span class="vhdlchar">*</span><b><a class="el" href="classfir__filter__stage_1_1struct.html#a165792aa281447ef621e7ddd34725db6">width_out</a></b> <span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
99
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Internal signal holding adder's outputs and delay's inputs. <br/></td></tr>
100
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="af0bb5234fc4334060df784c2d6bcd6eb"></a><!-- doxytag: member="fir_filter_stage::struct::delay_add" ref="af0bb5234fc4334060df784c2d6bcd6eb" args="" -->
101
<a class="el" href="classfir__filter__stage_1_1struct.html#af0bb5234fc4334060df784c2d6bcd6eb">delay_add</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage_1_1struct.html#ae89b33d7dfd2fe34210bd34e95eff9e4">order</a></b> <span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span><span class="vhdlchar">*</span><b><a class="el" href="classfir__filter__stage_1_1struct.html#a165792aa281447ef621e7ddd34725db6">width_out</a></b> <span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
102
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Internal signal holding delay's output and adder's inputs. <br/></td></tr>
103
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a6fb55cb2f3a509091c7a3a04d9fe844f"></a><!-- doxytag: member="fir_filter_stage::struct::multi_delay" ref="a6fb55cb2f3a509091c7a3a04d9fe844f" args="" -->
104
<a class="el" href="classfir__filter__stage_1_1struct.html#a6fb55cb2f3a509091c7a3a04d9fe844f">multi_delay</a> &nbsp;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage_1_1struct.html#a165792aa281447ef621e7ddd34725db6">width_out</a></b> <span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
105
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">internal signal for the left most multiplier since it is connected directly to delay <br/></td></tr>
106
<tr><td colspan="2"><h2>Component Instantiations</h2></td></tr>
107
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="abd4c2cf4810e81ae22a38305fd433e1a"></a><!-- doxytag: member="fir_filter_stage::struct::MULTI" ref="abd4c2cf4810e81ae22a38305fd433e1a" args="" -->
108
<a class="el" href="classfir__filter__stage_1_1struct.html#abd4c2cf4810e81ae22a38305fd433e1a">MULTI</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b>multiplier_gen</b>  <em><a class="el" href="classmultiplier__gen.html">&lt;Entity multiplier_gen&gt;</a></em></td></tr>
109
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Generate the filter multipliers set. <br/></td></tr>
110
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a5ec8e2ec5fae2030c1304157beece49f"></a><!-- doxytag: member="fir_filter_stage::struct::MULTIs" ref="a5ec8e2ec5fae2030c1304157beece49f" args="" -->
111
<a class="el" href="classfir__filter__stage_1_1struct.html#a5ec8e2ec5fae2030c1304157beece49f">MULTIs</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b>multiplier_gen</b>  <em><a class="el" href="classmultiplier__gen.html">&lt;Entity multiplier_gen&gt;</a></em></td></tr>
112
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab73297ef7e9ada93294ea90b438e5c38"></a><!-- doxytag: member="fir_filter_stage::struct::LastDELAY" ref="ab73297ef7e9ada93294ea90b438e5c38" args="" -->
113
<a class="el" href="classfir__filter__stage_1_1struct.html#ab73297ef7e9ada93294ea90b438e5c38">LastDELAY</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b>delay_gen</b>  <em><a class="el" href="classdelay__gen.html">&lt;Entity delay_gen&gt;</a></em></td></tr>
114
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Generate the filter delay set. <br/></td></tr>
115
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a608b6f687e967f2a2f299ff0e0054186"></a><!-- doxytag: member="fir_filter_stage::struct::DELAYs" ref="a608b6f687e967f2a2f299ff0e0054186" args="" -->
116
<a class="el" href="classfir__filter__stage_1_1struct.html#a608b6f687e967f2a2f299ff0e0054186">DELAYs</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b>delay_gen</b>  <em><a class="el" href="classdelay__gen.html">&lt;Entity delay_gen&gt;</a></em></td></tr>
117
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a0b2df459bab2f96d35693f23aa035b85"></a><!-- doxytag: member="fir_filter_stage::struct::ADDER0" ref="a0b2df459bab2f96d35693f23aa035b85" args="" -->
118
<a class="el" href="classfir__filter__stage_1_1struct.html#a0b2df459bab2f96d35693f23aa035b85">ADDER0</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b>adder_gen</b>  <em><a class="el" href="classadder__gen.html">&lt;Entity adder_gen&gt;</a></em></td></tr>
119
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a6f5117e2d020b31913e8fe1f794fe13d"></a><!-- doxytag: member="fir_filter_stage::struct::ADDERs" ref="a6f5117e2d020b31913e8fe1f794fe13d" args="" -->
120
<a class="el" href="classfir__filter__stage_1_1struct.html#a6f5117e2d020b31913e8fe1f794fe13d">ADDERs</a>&nbsp;</td><td class="memItemRight" valign="bottom"><b>adder_gen</b>  <em><a class="el" href="classadder__gen.html">&lt;Entity adder_gen&gt;</a></em></td></tr>
121
</table>
122
<hr/>The documentation for this class was generated from the following file:<ul>
123
<li>src/<a class="el" href="fir__filter__stage_8vhd.html">fir_filter_stage.vhd</a></li>
124
</ul>
125
</div>
126
<!--- window showing the filter options -->
127
<div id="MSearchSelectWindow"
128
     onmouseover="return searchBox.OnSearchSelectShow()"
129
     onmouseout="return searchBox.OnSearchSelectHide()"
130
     onkeydown="return searchBox.OnSearchSelectKey(event)">
131
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&nbsp;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&nbsp;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&nbsp;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&nbsp;</span>Variables</a></div>
132
 
133
<!-- iframe showing the search results (closed by default) -->
134
<div id="MSearchResultsWindow">
135
<iframe src="" frameborder="0"
136
        name="MSearchResults" id="MSearchResults">
137
</iframe>
138
</div>
139
 
140
<hr class="footer"/><address style="text-align: right;"><small>Generated on Mon Apr 9 16:26:40 2012 for FIR Digital Filter by&nbsp;
141
<a href="http://www.doxygen.org/index.html">
142
<img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.6.3 </small></address>
143
</body>
144
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.