OpenCores
URL https://opencores.org/ocsvn/gfir/gfir/trunk

Subversion Repositories gfir

[/] [gfir/] [trunk/] [vhdl/] [help/] [html/] [classfir__filter__stage__TF.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ahmed.shah
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2
<html xmlns="http://www.w3.org/1999/xhtml">
3
<head>
4
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5
<title>FIR Digital Filter: fir_filter_stage_TF Entity  Reference</title>
6
<link href="tabs.css" rel="stylesheet" type="text/css"/>
7
<link href="search/search.css" rel="stylesheet" type="text/css"/>
8
<script type="text/javascript" src="search/search.js"></script>
9
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
10
</head>
11
<body onload='searchBox.OnSelectItem(0);'>
12
<!-- Generated by Doxygen 1.7.3 -->
13
<script type="text/javascript"><!--
14
var searchBox = new SearchBox("searchBox", "search",false,'Search');
15
--></script>
16
<div id="top">
17
<div id="titlearea">
18
<table cellspacing="0" cellpadding="0">
19
 <tbody>
20
 <tr style="height: 56px;">
21
  <td style="padding-left: 0.5em;">
22
   <div id="projectname">FIR Digital Filter</div>
23
  </td>
24
 </tr>
25
 </tbody>
26
</table>
27
</div>
28
  <div id="navrow1" class="tabs">
29
    <ul class="tablist">
30
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
31
      <li class="current"><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
32
      <li><a href="files.html"><span>Files</span></a></li>
33
      <li id="searchli">
34
        <div id="MSearchBox" class="MSearchBoxInactive">
35
        <span class="left">
36
          <img id="MSearchSelect" src="search/mag_sel.png"
37
               onmouseover="return searchBox.OnSearchSelectShow()"
38
               onmouseout="return searchBox.OnSearchSelectHide()"
39
               alt=""/>
40
          <input type="text" id="MSearchField" value="Search" accesskey="S"
41
               onfocus="searchBox.OnSearchFieldFocus(true)"
42
               onblur="searchBox.OnSearchFieldFocus(false)"
43
               onkeyup="searchBox.OnSearchFieldChange(event)"/>
44
          </span><span class="right">
45
            <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
46
          </span>
47
        </div>
48
      </li>
49
    </ul>
50
  </div>
51
  <div id="navrow2" class="tabs2">
52
    <ul class="tablist">
53
      <li><a href="annotated.html"><span>Class&#160;List</span></a></li>
54
      <li><a href="classes.html"><span>Design&#160;Units</span></a></li>
55
      <li><a href="hierarchy.html"><span>Design&#160;Unit&#160;Hierarchy</span></a></li>
56
      <li><a href="functions.html"><span>Design&#160;Unit&#160;Members</span></a></li>
57
    </ul>
58
  </div>
59
</div>
60
<div class="header">
61
  <div class="summary">
62
<a href="#Ports">Ports</a> &#124;
63
<a href="#Libraries">Libraries</a> &#124;
64
<a href="#Packages">Packages</a>  </div>
65
  <div class="headertitle">
66
<h1>fir_filter_stage_TF Entity Reference</h1>  </div>
67
</div>
68
<div class="contents">
69
<!-- doxytag: class="fir_filter_stage_TF" --><!-- doxytag: inherits="fir_filter_stage_TF::struct" --><div class="dynheader">
70
Inheritance diagram for fir_filter_stage_TF:</div>
71
<div class="dyncontent">
72
 <div class="center">
73
  <img src="classfir__filter__stage__TF.png" usemap="#fir_filter_stage_TF_map" alt=""/>
74
  <map id="fir_filter_stage_TF_map" name="fir_filter_stage_TF_map">
75
<area href="classfir__filter__stage__TF_1_1struct.html" alt="struct" shape="rect" coords="125,112,240,136"/>
76
<area href="classmultiplier__gen.html" alt="multiplier_gen" shape="rect" coords="0,56,115,80"/>
77
<area href="classdelay__gen.html" alt="delay_gen" shape="rect" coords="125,56,240,80"/>
78
<area href="classadder__gen.html" alt="adder_gen" shape="rect" coords="250,56,365,80"/>
79
<area href="classmultiplier__gen_1_1behave.html" alt="behave" shape="rect" coords="0,0,115,24"/>
80
<area href="classdelay__gen_1_1behave.html" alt="behave" shape="rect" coords="125,0,240,24"/>
81
<area href="classadder__gen_1_1behave.html" alt="behave" shape="rect" coords="250,0,365,24"/>
82
</map>
83
 </div></div>
84
 
85
<p><a href="classfir__filter__stage__TF-members.html">List of all members.</a></p>
86
<table class="memberdecls">
87
<tr><td colspan="2"><h2><a name="nested-classes"></a>
88
Architectures</h2></td></tr>
89
<tr><td class="memItemLeft" align="right" valign="top"><a class="el" href="classfir__filter__stage__TF_1_1struct.html">struct</a>&#160;</td><td class="memItemRight" valign="bottom"><b>Architecture </b></td></tr>
90
<br/>
91
<br/>
92
<tr><td colspan="2"><h2><a name="pub-attribs"></a>
93
Libraries</h2></td></tr>
94
 <tr><td class="memItemLeft" align="right" valign="top"><a class="el" href="classfir__filter__stage__TF.html#acbe0bfecfa56fa4103ea80a491bfdbc8">ieee</a>&#160;</td><td class="memItemRight" valign="bottom"></td></tr>
95
<tr><td colspan="2"><h2><a name="pub-attribs"></a>
96
Packages</h2></td></tr>
97
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a75f07bd8bde6f849270ce9de65573a4f"></a><!-- doxytag: member="fir_filter_stage_TF::std_logic_1164" ref="a75f07bd8bde6f849270ce9de65573a4f" args="" -->
98
<a class="el" href="classfir__filter__stage__TF.html#a75f07bd8bde6f849270ce9de65573a4f">std_logic_1164</a>&#160;</td><td class="memItemRight" valign="bottom">  </td></tr>
99
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="afa3f6e309d54fde7de3148deddb32c77"></a><!-- doxytag: member="fir_filter_stage_TF::std_logic_arith" ref="afa3f6e309d54fde7de3148deddb32c77" args="" -->
100
<a class="el" href="classfir__filter__stage__TF.html#afa3f6e309d54fde7de3148deddb32c77">std_logic_arith</a>&#160;</td><td class="memItemRight" valign="bottom">  </td></tr>
101
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a5cb95d6cc481013a3f10b88926230077"></a><!-- doxytag: member="fir_filter_stage_TF::std_logic_unsigned" ref="a5cb95d6cc481013a3f10b88926230077" args="" -->
102
<a class="el" href="classfir__filter__stage__TF.html#a5cb95d6cc481013a3f10b88926230077">std_logic_unsigned</a>&#160;</td><td class="memItemRight" valign="bottom">  </td></tr>
103
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a8fcee58e05e809c9790c3821c9735d3c"></a><!-- doxytag: member="fir_filter_stage_TF::fir_pkg" ref="a8fcee58e05e809c9790c3821c9735d3c" args="" -->
104
<a class="el" href="classfir__filter__stage__TF.html#a8fcee58e05e809c9790c3821c9735d3c">fir_pkg</a>&#160;</td><td class="memItemRight" valign="bottom">   <b>Package</b><em><a class="el" href="classfir__pkg.html"> &lt;fir_pkg&gt;</a></td></tr>
105
<tr><td colspan="2"><h2><a name="pub-attribs"></a>
106
Ports</h2></td></tr>
107
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a89651e2933897b977b23c53fec93c9e0"></a><!-- doxytag: member="fir_filter_stage_TF::fir_clk" ref="a89651e2933897b977b23c53fec93c9e0" args="std_logic;" -->
108
<a class="el" href="classfir__filter__stage__TF.html#a89651e2933897b977b23c53fec93c9e0">fir_clk</a>&#160;</td><td class="memItemRight" valign="bottom"> <b>in</b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
109
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Rising edge clock. <br/></td></tr>
110
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab88437b89f2e0b1588b987872e23698f"></a><!-- doxytag: member="fir_filter_stage_TF::fir_clr" ref="ab88437b89f2e0b1588b987872e23698f" args="std_logic;" -->
111
<a class="el" href="classfir__filter__stage__TF.html#ab88437b89f2e0b1588b987872e23698f">fir_clr</a>&#160;</td><td class="memItemRight" valign="bottom"> <b>in</b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
112
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Active high asynchronous reset. <br/></td></tr>
113
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab508794e1ce35c2946d28703f4ebca9f"></a><!-- doxytag: member="fir_filter_stage_TF::fir_in" ref="ab508794e1ce35c2946d28703f4ebca9f" args="std_logic_vector(0 downto 0);" -->
114
<a class="el" href="classfir__filter__stage__TF.html#ab508794e1ce35c2946d28703f4ebca9f">fir_in</a>&#160;</td><td class="memItemRight" valign="bottom"> <b>in</b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
115
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Unsigned single/multi-bit input. <br/></td></tr>
116
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ae7fe87ee8521c3fce91172c78537df25"></a><!-- doxytag: member="fir_filter_stage_TF::fir_out" ref="ae7fe87ee8521c3fce91172c78537df25" args="std_logic_vector(14 downto 0);" -->
117
<a class="el" href="classfir__filter__stage__TF.html#ae7fe87ee8521c3fce91172c78537df25">fir_out</a>&#160;</td><td class="memItemRight" valign="bottom"> <b>out</b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdldigit">14</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
118
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Signed multi-bit output. <br/></td></tr>
119
</table>
120
<hr/><h2>Member Data Documentation</h2>
121
<a class="anchor" id="acbe0bfecfa56fa4103ea80a491bfdbc8"></a><!-- doxytag: member="fir_filter_stage_TF::ieee" ref="acbe0bfecfa56fa4103ea80a491bfdbc8" args="" -->
122
<div class="memitem">
123
<div class="memproto">
124
      <table class="memname">
125
        <tr>
126
          <td class="memname"><a class="el" href="classfir__filter__stage__TF.html#acbe0bfecfa56fa4103ea80a491bfdbc8">ieee</a> <b><span class="vhdlkeyword">library</span><span class="vhdlchar"> </span></b> <code> [Library]</code></td>
127
        </tr>
128
      </table>
129
</div>
130
<div class="memdoc">
131
<div align="center">
132
<img src="firTF.png" alt="firTF.png"/>
133
<p><strong>Transposed-form FIR Filter Structure</strong></p></div>
134
 <dl class="author"><dt><b>Author:</b></dt><dd>Ahmed Shahein  <a href="mailto:ahmed.shahein@ieee.org">ahmed.shahein@ieee.org</a> </dd></dl>
135
<dl class="date"><dt><b>Date:</b></dt><dd>04.2012 </dd></dl>
136
 
137
<p>Reimplemented from <a class="el" href="classadder__gen.html">adder_gen</a>.</p>
138
 
139
</div>
140
</div>
141
<hr/>The documentation for this class was generated from the following file:<ul>
142
<li>src/<a class="el" href="fir__filter__stage__TF_8vhd.html">fir_filter_stage_TF.vhd</a></li>
143
</ul>
144
</div>
145
<!--- window showing the filter options -->
146
<div id="MSearchSelectWindow"
147
     onmouseover="return searchBox.OnSearchSelectShow()"
148
     onmouseout="return searchBox.OnSearchSelectHide()"
149
     onkeydown="return searchBox.OnSearchSelectKey(event)">
150
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Variables</a></div>
151
 
152
<!-- iframe showing the search results (closed by default) -->
153
<div id="MSearchResultsWindow">
154
<iframe src="" frameborder="0"
155
        name="MSearchResults" id="MSearchResults">
156
</iframe>
157
</div>
158
 
159
<hr class="footer"/><address class="footer"><small>Generated on Thu Apr 12 2012 22:44:01 for FIR Digital Filter by&#160;
160
<a href="http://www.doxygen.org/index.html">
161
<img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.7.3 </small></address>
162
</body>
163
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.