OpenCores
URL https://opencores.org/ocsvn/gfir/gfir/trunk

Subversion Repositories gfir

[/] [gfir/] [trunk/] [vhdl/] [help/] [html/] [classfir__filter__stage__TF_1_1struct.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ahmed.shah
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2
<html xmlns="http://www.w3.org/1999/xhtml">
3
<head>
4
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5
<title>FIR Digital Filter: struct Architecture  Reference</title>
6
<link href="tabs.css" rel="stylesheet" type="text/css"/>
7
<link href="search/search.css" rel="stylesheet" type="text/css"/>
8
<script type="text/javascript" src="search/search.js"></script>
9
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
10
</head>
11
<body onload='searchBox.OnSelectItem(0);'>
12
<!-- Generated by Doxygen 1.7.3 -->
13
<script type="text/javascript"><!--
14
var searchBox = new SearchBox("searchBox", "search",false,'Search');
15
--></script>
16
<div id="top">
17
<div id="titlearea">
18
<table cellspacing="0" cellpadding="0">
19
 <tbody>
20
 <tr style="height: 56px;">
21
  <td style="padding-left: 0.5em;">
22
   <div id="projectname">FIR Digital Filter</div>
23
  </td>
24
 </tr>
25
 </tbody>
26
</table>
27
</div>
28
  <div id="navrow1" class="tabs">
29
    <ul class="tablist">
30
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
31
      <li class="current"><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
32
      <li><a href="files.html"><span>Files</span></a></li>
33
      <li id="searchli">
34
        <div id="MSearchBox" class="MSearchBoxInactive">
35
        <span class="left">
36
          <img id="MSearchSelect" src="search/mag_sel.png"
37
               onmouseover="return searchBox.OnSearchSelectShow()"
38
               onmouseout="return searchBox.OnSearchSelectHide()"
39
               alt=""/>
40
          <input type="text" id="MSearchField" value="Search" accesskey="S"
41
               onfocus="searchBox.OnSearchFieldFocus(true)"
42
               onblur="searchBox.OnSearchFieldFocus(false)"
43
               onkeyup="searchBox.OnSearchFieldChange(event)"/>
44
          </span><span class="right">
45
            <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
46
          </span>
47
        </div>
48
      </li>
49
    </ul>
50
  </div>
51
  <div id="navrow2" class="tabs2">
52
    <ul class="tablist">
53
      <li><a href="annotated.html"><span>Class&#160;List</span></a></li>
54
      <li><a href="classes.html"><span>Design&#160;Units</span></a></li>
55
      <li><a href="hierarchy.html"><span>Design&#160;Unit&#160;Hierarchy</span></a></li>
56
      <li><a href="functions.html"><span>Design&#160;Unit&#160;Members</span></a></li>
57
    </ul>
58
  </div>
59
  <div id="nav-path" class="navpath">
60
    <ul>
61
      <li class="navelem"><a class="el" href="classfir__filter__stage__TF.html">fir_filter_stage_TF</a>      </li>
62
      <li class="navelem"><a class="el" href="classfir__filter__stage__TF_1_1struct.html">struct</a>      </li>
63
    </ul>
64
  </div>
65
</div>
66
<div class="header">
67
  <div class="summary">
68
<a href="#Components">Components</a> &#124;
69
<a href="#Constants">Constants</a> &#124;
70
<a href="#Signals">Signals</a> &#124;
71
<a href="#Component Instantiations">Component Instantiations</a>  </div>
72
  <div class="headertitle">
73
<h1>struct Architecture Reference</h1>  </div>
74
</div>
75
<div class="contents">
76
<!-- doxytag: class="fir_filter_stage_TF::struct" --><!-- doxytag: inherits="multiplier_gen,delay_gen,adder_gen" --><div class="dynheader">
77
Inheritance diagram for struct:</div>
78
<div class="dyncontent">
79
 <div class="center">
80
  <img src="classfir__filter__stage__TF_1_1struct.png" usemap="#struct_map" alt=""/>
81
  <map id="struct_map" name="struct_map">
82
<area href="classmultiplier__gen.html" alt="multiplier_gen" shape="rect" coords="0,56,115,80"/>
83
<area href="classdelay__gen.html" alt="delay_gen" shape="rect" coords="125,56,240,80"/>
84
<area href="classadder__gen.html" alt="adder_gen" shape="rect" coords="250,56,365,80"/>
85
<area href="classmultiplier__gen_1_1behave.html" alt="behave" shape="rect" coords="0,0,115,24"/>
86
<area href="classdelay__gen_1_1behave.html" alt="behave" shape="rect" coords="125,0,240,24"/>
87
<area href="classadder__gen_1_1behave.html" alt="behave" shape="rect" coords="250,0,365,24"/>
88
<area href="classfir__filter__stage__TF.html" alt="fir_filter_stage_TF" shape="rect" coords="125,168,240,192"/>
89
</map>
90
 </div></div>
91
 
92
<p><a href="classfir__filter__stage__TF_1_1struct-members.html">List of all members.</a></p>
93
<table class="memberdecls">
94
<br/>
95
<br/>
96
<tr><td colspan="2"><h2><a name="pub-attribs"></a>
97
Components</h2></td></tr>
98
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a6f9e1a961a64ab0041ac0707ee40c821"></a><!-- doxytag: member="fir_filter_stage_TF::struct::multiplier_gen" ref="a6f9e1a961a64ab0041ac0707ee40c821" args="" -->
99
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a6f9e1a961a64ab0041ac0707ee40c821">multiplier_gen</a>&#160;</td><td class="memItemRight" valign="bottom"><b></b>  <em><a class="el" href="classmultiplier__gen.html">&lt;Entity multiplier_gen&gt; </a></em></td></tr>
100
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab3cadb9f82a00f0361b33c19dff6ae52"></a><!-- doxytag: member="fir_filter_stage_TF::struct::adder_gen" ref="ab3cadb9f82a00f0361b33c19dff6ae52" args="" -->
101
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#ab3cadb9f82a00f0361b33c19dff6ae52">adder_gen</a>&#160;</td><td class="memItemRight" valign="bottom"><b></b>  <em><a class="el" href="classadder__gen.html">&lt;Entity adder_gen&gt; </a></em></td></tr>
102
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a924bfda8c3c384807bfb8ae15d7953ba"></a><!-- doxytag: member="fir_filter_stage_TF::struct::delay_gen" ref="a924bfda8c3c384807bfb8ae15d7953ba" args="" -->
103
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a924bfda8c3c384807bfb8ae15d7953ba">delay_gen</a>&#160;</td><td class="memItemRight" valign="bottom"><b></b>  <em><a class="el" href="classdelay__gen.html">&lt;Entity delay_gen&gt; </a></em></td></tr>
104
<tr><td colspan="2"><h2><a name="pub-attribs"></a>
105
Constants</h2></td></tr>
106
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="adaa2b6c5cbc1def261e9acc0c3c7007c"></a><!-- doxytag: member="fir_filter_stage_TF::struct::width_in" ref="adaa2b6c5cbc1def261e9acc0c3c7007c" args="" -->
107
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#adaa2b6c5cbc1def261e9acc0c3c7007c">width_in</a> &#160;</td><td class="memItemRight" valign="bottom"><b><span class="vhdlkeyword">natural</span><span class="vhdlchar"> </span><span class="vhdlchar">:</span><span class="vhdlchar">=</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__filter__stage__TF.html#ab508794e1ce35c2946d28703f4ebca9f">fir_in</a></b> <span class="vhdlchar"> </span><span class="vhdlchar">'</span><span class="vhdlchar"> </span><span class="vhdlchar">length</span><span class="vhdlchar"> </span></b></td></tr>
108
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Input bit-width. <br/></td></tr>
109
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a339f911ca5cb1a8748960755c77bc225"></a><!-- doxytag: member="fir_filter_stage_TF::struct::width_const" ref="a339f911ca5cb1a8748960755c77bc225" args="" -->
110
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a339f911ca5cb1a8748960755c77bc225">width_const</a> &#160;</td><td class="memItemRight" valign="bottom"><b><span class="vhdlkeyword">positive</span><span class="vhdlchar"> </span><span class="vhdlchar">:</span><span class="vhdlchar">=</span><span class="vhdlchar"> </span><b><a class="el" href="classfir__pkg.html#a9412e753e9f662ae363ff33d552c55ce">quantization</a></b> <span class="vhdlchar"> </span></b></td></tr>
111
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Quantization bit-width defined in the <a class="el" href="fir__pkg_8vhd.html" title="This is the supporting package. &quot;JUST EDIT THIS FILE&quot;.">fir_pkg.vhd</a>. <br/></td></tr>
112
<tr><td colspan="2"><h2><a name="pub-attribs"></a>
113
Signals</h2></td></tr>
114
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a4205437c41382c6434ab00bb83e1a3f7"></a><!-- doxytag: member="fir_filter_stage_TF::struct::multi_add" ref="a4205437c41382c6434ab00bb83e1a3f7" args="" -->
115
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a4205437c41382c6434ab00bb83e1a3f7">multi_add</a> &#160;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">order</span><span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span><span class="vhdlchar">*</span><span class="vhdlchar">width_out</span><span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
116
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Internal signal holding multiplier's outputs and adder's inputs. <br/></td></tr>
117
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a862700b81c83534570de1c1c8febd189"></a><!-- doxytag: member="fir_filter_stage_TF::struct::add_delay" ref="a862700b81c83534570de1c1c8febd189" args="" -->
118
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a862700b81c83534570de1c1c8febd189">add_delay</a> &#160;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">order</span><span class="vhdlchar">-</span><span class="vhdldigit">2</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span><span class="vhdlchar">*</span><span class="vhdlchar">width_out</span><span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
119
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Internal signal holding adder's outputs and delay's inputs. <br/></td></tr>
120
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="af0bb5234fc4334060df784c2d6bcd6eb"></a><!-- doxytag: member="fir_filter_stage_TF::struct::delay_add" ref="af0bb5234fc4334060df784c2d6bcd6eb" args="" -->
121
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#af0bb5234fc4334060df784c2d6bcd6eb">delay_add</a> &#160;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">order</span><span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span><span class="vhdlchar">*</span><span class="vhdlchar">width_out</span><span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
122
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Internal signal holding delay's output and adder's inputs. <br/></td></tr>
123
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a6fb55cb2f3a509091c7a3a04d9fe844f"></a><!-- doxytag: member="fir_filter_stage_TF::struct::multi_delay" ref="a6fb55cb2f3a509091c7a3a04d9fe844f" args="" -->
124
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a6fb55cb2f3a509091c7a3a04d9fe844f">multi_delay</a> &#160;</td><td class="memItemRight" valign="bottom"><b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar">width_out</span><span class="vhdlchar">-</span><span class="vhdldigit">1</span><span class="vhdlchar"> </span><span class="vhdlkeyword">downto</span><span class="vhdlchar"> </span><span class="vhdldigit">0</span><span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
125
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">internal signal for the left most multiplier since it is connected directly to delay <br/></td></tr>
126
<tr><td colspan="2"><h2><a name="pub-attribs"></a>
127
Component Instantiations</h2></td></tr>
128
 <tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="abd4c2cf4810e81ae22a38305fd433e1a"></a><!-- doxytag: member="fir_filter_stage_TF::struct::MULTI" ref="abd4c2cf4810e81ae22a38305fd433e1a" args="" -->
129
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#abd4c2cf4810e81ae22a38305fd433e1a">MULTI</a>&#160;</td><td class="memItemRight" valign="bottom"><b>multiplier_gen</b>  <em><a class="el" href="classmultiplier__gen.html">&lt;Entity multiplier_gen&gt;</a></em></td></tr>
130
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Generate the filter multipliers set. <br/></td></tr>
131
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a5ec8e2ec5fae2030c1304157beece49f"></a><!-- doxytag: member="fir_filter_stage_TF::struct::MULTIs" ref="a5ec8e2ec5fae2030c1304157beece49f" args="" -->
132
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a5ec8e2ec5fae2030c1304157beece49f">MULTIs</a>&#160;</td><td class="memItemRight" valign="bottom"><b>multiplier_gen</b>  <em><a class="el" href="classmultiplier__gen.html">&lt;Entity multiplier_gen&gt;</a></em></td></tr>
133
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab73297ef7e9ada93294ea90b438e5c38"></a><!-- doxytag: member="fir_filter_stage_TF::struct::LastDELAY" ref="ab73297ef7e9ada93294ea90b438e5c38" args="" -->
134
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#ab73297ef7e9ada93294ea90b438e5c38">LastDELAY</a>&#160;</td><td class="memItemRight" valign="bottom"><b>delay_gen</b>  <em><a class="el" href="classdelay__gen.html">&lt;Entity delay_gen&gt;</a></em></td></tr>
135
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Generate the filter delays set. <br/></td></tr>
136
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a608b6f687e967f2a2f299ff0e0054186"></a><!-- doxytag: member="fir_filter_stage_TF::struct::DELAYs" ref="a608b6f687e967f2a2f299ff0e0054186" args="" -->
137
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a608b6f687e967f2a2f299ff0e0054186">DELAYs</a>&#160;</td><td class="memItemRight" valign="bottom"><b>delay_gen</b>  <em><a class="el" href="classdelay__gen.html">&lt;Entity delay_gen&gt;</a></em></td></tr>
138
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a0b2df459bab2f96d35693f23aa035b85"></a><!-- doxytag: member="fir_filter_stage_TF::struct::ADDER0" ref="a0b2df459bab2f96d35693f23aa035b85" args="" -->
139
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a0b2df459bab2f96d35693f23aa035b85">ADDER0</a>&#160;</td><td class="memItemRight" valign="bottom"><b>adder_gen</b>  <em><a class="el" href="classadder__gen.html">&lt;Entity adder_gen&gt;</a></em></td></tr>
140
<tr><td class="mdescLeft">&#160;</td><td class="mdescRight">Generate the filter adders set. <br/></td></tr>
141
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a6f5117e2d020b31913e8fe1f794fe13d"></a><!-- doxytag: member="fir_filter_stage_TF::struct::ADDERs" ref="a6f5117e2d020b31913e8fe1f794fe13d" args="" -->
142
<a class="el" href="classfir__filter__stage__TF_1_1struct.html#a6f5117e2d020b31913e8fe1f794fe13d">ADDERs</a>&#160;</td><td class="memItemRight" valign="bottom"><b>adder_gen</b>  <em><a class="el" href="classadder__gen.html">&lt;Entity adder_gen&gt;</a></em></td></tr>
143
</table>
144
<hr/>The documentation for this class was generated from the following file:<ul>
145
<li>src/<a class="el" href="fir__filter__stage__TF_8vhd.html">fir_filter_stage_TF.vhd</a></li>
146
</ul>
147
</div>
148
<!--- window showing the filter options -->
149
<div id="MSearchSelectWindow"
150
     onmouseover="return searchBox.OnSearchSelectShow()"
151
     onmouseout="return searchBox.OnSearchSelectHide()"
152
     onkeydown="return searchBox.OnSearchSelectKey(event)">
153
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Variables</a></div>
154
 
155
<!-- iframe showing the search results (closed by default) -->
156
<div id="MSearchResultsWindow">
157
<iframe src="" frameborder="0"
158
        name="MSearchResults" id="MSearchResults">
159
</iframe>
160
</div>
161
 
162
<hr class="footer"/><address class="footer"><small>Generated on Thu Apr 12 2012 22:44:02 for FIR Digital Filter by&#160;
163
<a href="http://www.doxygen.org/index.html">
164
<img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.7.3 </small></address>
165
</body>
166
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.