OpenCores
URL https://opencores.org/ocsvn/gfir/gfir/trunk

Subversion Repositories gfir

[/] [gfir/] [trunk/] [vhdl/] [src/] [adder_gen.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ahmed.shah
----------
2
--! @file
3
--! @brief This is a two input signed adder.
4
---------
5
LIBRARY ieee;
6
USE ieee.std_logic_1164.all;
7
USE ieee.std_logic_signed.all;
8
 
9
ENTITY adder_gen IS
10
  generic (add_width : natural);
11
    port (add_a_in : in std_logic_vector(add_width-1 downto 0);  --! Two input adder element first input port with variable input bit-width
12
          add_b_in : in std_logic_vector(add_width-1 downto 0);  --! Two input adder element second input port with variable input bit-width
13
          add_out : out std_logic_vector(add_width-1 downto 0));--! Two input adder element output port with variable input bit-width
14
END ENTITY adder_gen;
15
 
16
ARCHITECTURE behave OF adder_gen IS
17
BEGIN
18
  add_out <= add_a_in + add_b_in;
19
END ARCHITECTURE behave;
20
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.