OpenCores
URL https://opencores.org/ocsvn/gfir/gfir/trunk

Subversion Repositories gfir

[/] [gfir/] [trunk/] [vhdl/] [src/] [delay_gen.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ahmed.shah
----------
2
--! @file
3
--! @brief This is a positive edge triggered D-flip flop.
4
----------
5
LIBRARY ieee;
6
USE ieee.std_logic_1164.all;
7
USE ieee.std_logic_arith.all;
8
 
9
ENTITY delay_gen IS
10
    generic (delay_width : integer);
11
    port (clk           : in  std_logic;                                        --! Rising edge clock
12
          clr           : in  std_logic;                                        --! Active high asynchronous reset
13
          delay_in      : in  std_logic_vector(delay_width-1 downto 0);          --! Delay input port variable bit-width
14
          delay_out     : out std_logic_vector(delay_width-1 downto 0)); --! Delay output port variable bit-width
15
END ENTITY delay_gen;
16
 
17
ARCHITECTURE behave OF delay_gen IS
18
BEGIN
19
    process (clr, clk)
20
      begin
21
        if clr = '1' then
22
          delay_out <= (others => '0');
23
        elsif rising_edge(clk) then
24
          delay_out <= delay_in;
25
        end if;
26
      end process;
27
END ARCHITECTURE behave;
28
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.