OpenCores
URL https://opencores.org/ocsvn/gnextrapolator/gnextrapolator/trunk

Subversion Repositories gnextrapolator

[/] [gnextrapolator/] [trunk/] [QuartusII/] [db/] [mux_0oc.tdf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 pas.
--lpm_mux CASCADE_CHAIN="IGNORE" DEVICE_FAMILY="Stratix II" IGNORE_CASCADE_BUFFERS="OFF" LPM_SIZE=4 LPM_WIDTH=1 LPM_WIDTHS=2 data result sel
2
--VERSION_BEGIN 9.1SP2 cbx_lpm_mux 2010:03:24:20:43:43:SJ cbx_mgl 2010:03:24:21:01:05:SJ  VERSION_END
3
 
4
 
5
-- Copyright (C) 1991-2010 Altera Corporation
6
--  Your use of Altera Corporation's design tools, logic functions
7
--  and other software and tools, and its AMPP partner logic
8
--  functions, and any output files from any of the foregoing
9
--  (including device programming or simulation files), and any
10
--  associated documentation or information are expressly subject
11
--  to the terms and conditions of the Altera Program License
12
--  Subscription Agreement, Altera MegaCore Function License
13
--  Agreement, or other applicable license agreement, including,
14
--  without limitation, that your use is for the sole purpose of
15
--  programming logic devices manufactured by Altera and sold by
16
--  Altera or its authorized distributors.  Please refer to the
17
--  applicable agreement for further details.
18
 
19
 
20
 
21
--synthesis_resources = lut 1
22
SUBDESIGN mux_0oc
23
(
24
        data[3..0]      :       input;
25
        result[0..0]    :       output;
26
        sel[1..0]       :       input;
27
)
28
VARIABLE
29
        l1_w0_n0_mux_dataout    :       WIRE;
30
        l1_w0_n1_mux_dataout    :       WIRE;
31
        l2_w0_n0_mux_dataout    :       WIRE;
32
        data_wire[5..0] : WIRE;
33
        result_wire_ext[0..0]   : WIRE;
34
        sel_wire[3..0]  : WIRE;
35
 
36
BEGIN
37
        l1_w0_n0_mux_dataout = sel_wire[0..0] & data_wire[1..1] # !(sel_wire[0..0]) & data_wire[0..0];
38
        l1_w0_n1_mux_dataout = sel_wire[0..0] & data_wire[3..3] # !(sel_wire[0..0]) & data_wire[2..2];
39
        l2_w0_n0_mux_dataout = sel_wire[3..3] & data_wire[5..5] # !(sel_wire[3..3]) & data_wire[4..4];
40
        data_wire[] = ( l1_w0_n1_mux_dataout, l1_w0_n0_mux_dataout, data[]);
41
        result[] = result_wire_ext[];
42
        result_wire_ext[] = ( l2_w0_n0_mux_dataout);
43
        sel_wire[] = ( sel[1..1], B"00", sel[0..0]);
44
END;
45
--VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.