OpenCores
URL https://opencores.org/ocsvn/gng/gng/trunk

Subversion Repositories gng

[/] [gng/] [trunk/] [rtl/] [gng.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 guangxi.li
//------------------------------------------------------------------------------
2
//
3
// gng.v
4
//
5
// This file is part of the Gaussian Noise Generator IP Core
6
//
7
// Description
8
//     Top module of Gaussian noise generator.
9
//
10
//------------------------------------------------------------------------------
11
//
12
// Copyright (C) 2014, Guangxi Liu <guangxi.liu@opencores.org>
13
//
14
// This source file may be used and distributed without restriction provided
15
// that this copyright statement is not removed from the file and that any
16
// derivative work contains the original copyright notice and the associated
17
// disclaimer.
18
//
19
// This source file is free software; you can redistribute it and/or modify it
20
// under the terms of the GNU Lesser General Public License as published by
21
// the Free Software Foundation; either version 2.1 of the License,
22
// or (at your option) any later version.
23
//
24
// This source is distributed in the hope that it will be useful, but
25
// WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
26
// or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
27
// License for more details.
28
//
29
// You should have received a copy of the GNU Lesser General Public License
30
// along with this source; if not, download it from
31
// http://www.opencores.org/lgpl.shtml
32
//
33
//------------------------------------------------------------------------------
34
 
35
 
36
`timescale 1 ns / 1 ps
37
 
38
 
39
module gng #(
40
    parameter INIT_Z1 = 64'd5030521883283424767,
41
    parameter INIT_Z2 = 64'd18445829279364155008,
42
    parameter INIT_Z3 = 64'd18436106298727503359
43
)
44
(
45
    // System signals
46
    input clk,                    // system clock
47
    input rstn,                   // system synchronous reset, active low
48
 
49
    // Data interface
50
    input ce,                     // clock enable
51
    output valid_out,             // output data valid
52
    output [15:0] data_out        // output data, s<16,11>
53
);
54
 
55
// Local variables
56
wire valid_out_ctg;
57
wire [63:0] data_out_ctg;
58
 
59
 
60
// Instances
61
gng_ctg #(
62
    .INIT_Z1(INIT_Z1),
63
    .INIT_Z2(INIT_Z2),
64
    .INIT_Z3(INIT_Z3)
65
) u_gng_ctg (
66
    .clk(clk),
67
    .rstn(rstn),
68
    .ce(ce),
69
    .valid_out(valid_out_ctg),
70
    .data_out(data_out_ctg)
71
);
72
 
73
gng_interp u_gng_interp (
74
    .clk(clk),
75
    .rstn(rstn),
76
    .valid_in(valid_out_ctg),
77
    .data_in(data_out_ctg),
78
    .valid_out(valid_out),
79
    .data_out(data_out)
80
);
81
 
82
 
83
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.