OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [GpibStatusReg.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: SettingsReg0
3
-- Date:2011-11-09  
4
-- Author: Administrator     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
 
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.std_logic_unsigned.all;
16
 
17
entity GpibStatusReg is
18
        port (
19
                data_out : out std_logic_vector (15 downto 0);
20
                -- gpib
21
                currentSecAddr : in std_logic_vector (4 downto 0); -- current sec addr
22
                att : in std_logic; -- addressed to talk(L or LE)
23
                tac : in std_logic; -- talker active (T, TE)
24
                atl : in std_logic; -- addressed to listen (T or TE)
25
                lac : in std_logic; -- listener active (L, LE)
26
                cwrc : in std_logic; -- controller write commands
27
                cwrd : in std_logic; -- controller write data
28
                spa : in std_logic; -- seriall poll active
29
                isLocal : in std_logic -- device is local controlled
30
        );
31
end GpibStatusReg;
32
 
33
architecture arch of GpibStatusReg is
34
 
35
begin
36
 
37
        data_out(4 downto 0) <= currentSecAddr;
38
        data_out(5) <= att;
39
        data_out(6) <= tac;
40
        data_out(7) <= atl;
41
        data_out(8) <= lac;
42
        data_out(9) <= cwrc;
43
        data_out(10) <= cwrd;
44
        data_out(11) <= spa;
45
        data_out(12) <= isLocal;
46
        data_out(15 downto 13) <= "000";
47
 
48
end arch;
49
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.