OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [ReaderControlReg1.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: ReaderControlReg0
3
-- Date:2011-11-10  
4
-- Author: Administrator     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
 
13
entity ReaderControlReg1 is
14
        port (
15
                data_out : out std_logic_vector (15 downto 0);
16
                ------------------ gpib --------------------
17
                -- num of bytes available in fifo
18
                bytes_available_in_fifo : in std_logic_vector (10 downto 0)
19
        );
20
end ReaderControlReg1;
21
 
22
architecture arch of ReaderControlReg1 is
23
 
24
begin
25
 
26
        data_out(10 downto 0) <= bytes_available_in_fifo(10 downto 0);
27
        data_out(15 downto 11) <= "00000";
28
 
29
end arch;
30
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.