OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [test/] [gpib_PP_Test.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:
4
--
5
-- Create Date:   23:21:05 10/21/2011
6
-- Design Name:   
7
-- Module Name:   /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd
8
-- Project Name:  usbToHpib
9
-- Target Device:  
10
-- Tool versions:  
11
-- Description:   
12
-- 
13
-- VHDL Test Bench Created by ISE for module: gpibInterface
14
-- 
15
-- Dependencies:
16
-- 
17
-- Revision:
18
-- Revision 0.01 - File Created
19
-- Additional Comments:
20
--
21
-- Notes: 
22
-- This testbench has been automatically generated using types std_logic and
23
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
24
-- that these types always be used for the top-level I/O of a design in order
25
-- to guarantee that the testbench will bind correctly to the post-implementation 
26
-- simulation model.
27
--------------------------------------------------------------------------------
28
LIBRARY ieee;
29
USE ieee.std_logic_1164.ALL;
30
USE ieee.std_logic_unsigned.all;
31
USE ieee.numeric_std.ALL;
32
 
33
use work.gpibComponents.all;
34
use work.helperComponents.all;
35
 
36
 
37
ENTITY gpib_PP_Test IS
38
END gpib_PP_Test;
39
 
40
ARCHITECTURE behavior OF gpib_PP_Test IS
41
 
42
        -- Component Declaration for the Unit Under Test (UUT)
43
 
44
        component gpibCableEmulator is port (
45
                -- interface signals
46
                DIO_1 : in std_logic_vector (7 downto 0);
47
                output_valid_1 : in std_logic;
48
                DIO_2 : in std_logic_vector (7 downto 0);
49
                output_valid_2 : in std_logic;
50
                DIO : out std_logic_vector (7 downto 0);
51
                -- attention
52
                ATN_1 : in std_logic;
53
                ATN_2 : in std_logic;
54
                ATN : out std_logic;
55
                -- data valid
56
                DAV_1 : in std_logic;
57
                DAV_2 : in std_logic;
58
                DAV : out std_logic;
59
                -- not ready for data
60
                NRFD_1 : in std_logic;
61
                NRFD_2 : in std_logic;
62
                NRFD : out std_logic;
63
                -- no data accepted
64
                NDAC_1 : in std_logic;
65
                NDAC_2 : in std_logic;
66
                NDAC : out std_logic;
67
                -- end or identify
68
                EOI_1 : in std_logic;
69
                EOI_2 : in std_logic;
70
                EOI : out std_logic;
71
                -- service request
72
                SRQ_1 : in std_logic;
73
                SRQ_2 : in std_logic;
74
                SRQ : out std_logic;
75
                -- interface clear
76
                IFC_1 : in std_logic;
77
                IFC_2 : in std_logic;
78
                IFC : out std_logic;
79
                -- remote enable
80
                REN_1 : in std_logic;
81
                REN_2 : in std_logic;
82
                REN : out std_logic
83
        );
84
        end component;
85
 
86
        -- inputs common
87
        signal clk : std_logic := '0';
88
        signal reset : std_logic := '0';
89
        signal T1 : std_logic_vector(7 downto 0) := "00000100";
90
 
91
        -- inputs 1
92
        signal data_1 : std_logic_vector(7 downto 0) := (others => '0');
93
        signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0');
94
        signal rdy_1 : std_logic := '0';
95
        signal nba_1 : std_logic := '0';
96
        signal ltn_1 : std_logic := '0';
97
        signal lun_1 : std_logic := '0';
98
        signal lon_1 : std_logic := '0';
99
        signal ton_1 : std_logic := '0';
100
        signal endOf_1 : std_logic := '0';
101
        signal gts_1 : std_logic := '0';
102
        signal rpp_1 : std_logic := '0';
103
        signal tcs_1 : std_logic := '0';
104
        signal tca_1 : std_logic := '0';
105
        signal sic_1 : std_logic := '0';
106
        signal rsc_1 : std_logic := '0';
107
        signal sre_1 : std_logic := '0';
108
        signal rtl_1 : std_logic := '0';
109
        signal rsv_1 : std_logic := '0';
110
        signal ist_1 : std_logic := '0';
111
        signal lpe_1 : std_logic := '0';
112
 
113
        -- inputs 2
114
        signal lpeUsed_2 : std_logic := '0';
115
        signal data_2 : std_logic_vector(7 downto 0) := (others => '0');
116
        signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0');
117
        signal rdy_2 : std_logic := '0';
118
        signal nba_2 : std_logic := '0';
119
        signal ltn_2 : std_logic := '0';
120
        signal lun_2 : std_logic := '0';
121
        signal lon_2 : std_logic := '0';
122
        signal ton_2 : std_logic := '0';
123
        signal endOf_2 : std_logic := '0';
124
        signal gts_2 : std_logic := '0';
125
        signal rpp_2 : std_logic := '0';
126
        signal tcs_2 : std_logic := '0';
127
        signal tca_2 : std_logic := '0';
128
        signal sic_2 : std_logic := '0';
129
        signal rsc_2 : std_logic := '0';
130
        signal sre_2 : std_logic := '0';
131
        signal rtl_2 : std_logic := '0';
132
        signal rsv_2 : std_logic := '0';
133
        signal ist_2 : std_logic := '0';
134
        signal lpe_2 : std_logic := '0';
135
 
136
        -- outputs 1
137
        signal dvd_1 : std_logic;
138
        signal wnc_1 : std_logic;
139
        signal tac_1 : std_logic;
140
        signal cwrc_1 : std_logic;
141
        signal cwrd_1 : std_logic;
142
        signal clr_1 : std_logic;
143
        signal trg_1 : std_logic;
144
        signal atl_1 : std_logic;
145
        signal att_1 : std_logic;
146
        signal mla_1 : std_logic;
147
        signal lsb_1 : std_logic;
148
        signal spa_1 : std_logic;
149
        signal ppr_1 : std_logic;
150
        signal sreq_1 : std_logic;
151
        signal isLocal_1 : std_logic;
152
        signal currentSecAddr_1 : std_logic_vector (4 downto 0);
153
 
154
        -- outputs 2
155
        signal dvd_2 : std_logic;
156
        signal wnc_2 : std_logic;
157
        signal tac_2 : std_logic;
158
        signal cwrc_2 : std_logic;
159
        signal cwrd_2 : std_logic;
160
        signal clr_2 : std_logic;
161
        signal trg_2 : std_logic;
162
        signal atl_2 : std_logic;
163
        signal att_2 : std_logic;
164
        signal mla_2 : std_logic;
165
        signal lsb_2 : std_logic;
166
        signal spa_2 : std_logic;
167
        signal ppr_2 : std_logic;
168
        signal sreq_2 : std_logic;
169
        signal isLocal_2 : std_logic;
170
        signal currentSecAddr_2 : std_logic_vector (4 downto 0);
171
 
172
        -- common
173
        signal DO : std_logic_vector (7 downto 0);
174
        signal DI_1 : std_logic_vector (7 downto 0);
175
        signal output_valid_1 : std_logic;
176
        signal DI_2 : std_logic_vector (7 downto 0);
177
        signal output_valid_2 : std_logic;
178
        signal ATN_1, ATN_2, ATN : std_logic;
179
        signal DAV_1, DAV_2, DAV : std_logic;
180
        signal NRFD_1, NRFD_2, NRFD : std_logic;
181
        signal NDAC_1, NDAC_2, NDAC : std_logic;
182
        signal EOI_1, EOI_2, EOI : std_logic;
183
        signal SRQ_1, SRQ_2, SRQ : std_logic;
184
        signal IFC_1, IFC_2, IFC : std_logic;
185
        signal REN_1, REN_2, REN : std_logic;
186
 
187
        -- gpib reader
188
        signal buf_interrupt : std_logic;
189
        signal data_available : std_logic;
190
        signal last_byte_addr : std_logic_vector (3 downto 0);
191
        signal end_of_stream : std_logic;
192
        signal byte_addr : std_logic_vector (3 downto 0);
193
        signal data_out : std_logic_vector (7 downto 0);
194
        signal reset_buffer : std_logic := '0';
195
        signal dataSecAddr : std_logic_vector (4 downto 0);
196
 
197
        -- gpib writer
198
        signal w_last_byte_addr : std_logic_vector (3 downto 0)
199
                := (others => '0');
200
        signal w_end_of_stream : std_logic := '0';
201
        signal w_data_available : std_logic := '0';
202
        signal w_buf_interrupt : std_logic;
203
        signal w_data_in : std_logic_vector (7 downto 0);
204
        signal w_byte_addr : std_logic_vector (3 downto 0);
205
        signal w_reset_buffer : std_logic := '0';
206
        type WR_BUF_TYPE is
207
                array (0 to 15) of std_logic_vector (7 downto 0);
208
        signal w_write_buffer : WR_BUF_TYPE;
209
 
210
        -- Clock period definitions
211
        constant clk_period : time := 2ps;
212
 
213
BEGIN
214
 
215
        -- Instantiate the Unit Under Test (UUT)
216
        gpib1: gpibInterface PORT MAP (
217
                clk => clk,
218
                reset => reset,
219
                isLE => '0',
220
                isTE => '0',
221
                lpeUsed => '0',
222
                fixedPpLine => "000",
223
                eosUsed => '0',
224
                eosMark => "00000000",
225
                myListAddr => "00001",
226
                myTalkAddr => "00001",
227
                secAddrMask => (others => '0'),
228
                data => data_1,
229
                status_byte => status_byte_1,
230
                T1 => T1,
231
                rdy => rdy_1,
232
                nba => nba_1,
233
                ltn => ltn_1,
234
                lun => lun_1,
235
                lon => lon_1,
236
                ton => ton_1,
237
                endOf => endOf_1,
238
                gts => gts_1,
239
                rpp => rpp_1,
240
                tcs => tcs_1,
241
                tca => tca_1,
242
                sic => sic_1,
243
                rsc => rsc_1,
244
                sre => sre_1,
245
                rtl => rtl_1,
246
                rsv => rsv_1,
247
                ist => ist_1,
248
                lpe => lpe_1,
249
                dvd => dvd_1,
250
                wnc => wnc_1,
251
                tac => tac_1,
252
                cwrc => cwrc_1,
253
                cwrd => cwrd_1,
254
                clr => clr_1,
255
                trg => trg_1,
256
                atl => atl_1,
257
                att => att_1,
258
                mla => mla_1,
259
                lsb => lsb_1,
260
                spa => spa_1,
261
                ppr => ppr_1,
262
                sreq => sreq_1,
263
                isLocal => isLocal_1,
264
                currentSecAddr => currentSecAddr_1,
265
                DI => DO,
266
                DO => DI_1,
267
                output_valid => output_valid_1,
268
                ATN_in => ATN,
269
                ATN_out => ATN_1,
270
                DAV_in => DAV,
271
                DAV_out => DAV_1,
272
                NRFD_in => NRFD,
273
                NRFD_out => NRFD_1,
274
                NDAC_in => NDAC,
275
                NDAC_out => NDAC_1,
276
                EOI_in => EOI,
277
                EOI_out => EOI_1,
278
                SRQ_in => SRQ,
279
                SRQ_out => SRQ_1,
280
                IFC_in => IFC,
281
                IFC_out => IFC_1,
282
                REN_in => REN,
283
                REN_out => REN_1
284
                );
285
 
286
        -- Instantiate the Unit Under Test (UUT)
287
        gpib2: gpibInterface PORT MAP (
288
                clk => clk,
289
                reset => reset,
290
                isLE => '0',
291
                isTE => '0',
292
                lpeUsed => lpeUsed_2,
293
                fixedPpLine => "001",
294
                eosUsed => '0',
295
                eosMark => "00000000",
296
                myListAddr => "00010",
297
                myTalkAddr => "00010",
298
                secAddrMask => (others => '0'),
299
                data => data_2,
300
                status_byte => status_byte_2,
301
                T1 => T1,
302
                rdy => rdy_2,
303
                nba => nba_2,
304
                ltn => ltn_2,
305
                lun => lun_2,
306
                lon => lon_2,
307
                ton => ton_2,
308
                endOf => endOf_2,
309
                gts => gts_2,
310
                rpp => rpp_2,
311
                tcs => tcs_2,
312
                tca => tca_2,
313
                sic => sic_2,
314
                rsc => rsc_2,
315
                sre => sre_2,
316
                rtl => rtl_2,
317
                rsv => rsv_2,
318
                ist => ist_2,
319
                lpe => lpe_2,
320
                dvd => dvd_2,
321
                wnc => wnc_2,
322
                tac => tac_2,
323
                cwrc => cwrc_2,
324
                cwrd => cwrd_2,
325
                clr => clr_2,
326
                trg => trg_2,
327
                atl => atl_2,
328
                att => att_2,
329
                mla => mla_2,
330
                lsb => lsb_2,
331
                spa => spa_2,
332
                ppr => ppr_2,
333
                sreq => sreq_2,
334
                isLocal => isLocal_2,
335
                currentSecAddr => currentSecAddr_2,
336
                DI => DO,
337
                DO => DI_2,
338
                output_valid => output_valid_2,
339
                ATN_in => ATN,
340
                ATN_out => ATN_2,
341
                DAV_in => DAV,
342
                DAV_out => DAV_2,
343
                NRFD_in => NRFD,
344
                NRFD_out => NRFD_2,
345
                NDAC_in => NDAC,
346
                NDAC_out => NDAC_2,
347
                EOI_in => EOI,
348
                EOI_out => EOI_2,
349
                SRQ_in => SRQ,
350
                SRQ_out => SRQ_2,
351
                IFC_in => IFC,
352
                IFC_out => IFC_2,
353
                REN_in => REN,
354
                REN_out => REN_2
355
                );
356
 
357
        ce: gpibCableEmulator port map (
358
                -- interface signals
359
                DIO_1 => DI_1,
360
                output_valid_1 => output_valid_1,
361
                DIO_2 => DI_2,
362
                output_valid_2 => output_valid_2,
363
                DIO => DO,
364
                -- attention
365
                ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN,
366
                DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV,
367
                NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD,
368
                NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC,
369
                EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI,
370
                SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ,
371
                IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC,
372
                REN_1 => REN_1, REN_2 => REN_2, REN => REN
373
        );
374
 
375
        gr: gpibReader generic map (ADDR_WIDTH => 4) port map (
376
                clk => clk, reset => reset,
377
                ------------------------------------------------------------------------
378
                ------ GPIB interface --------------------------------------------------
379
                ------------------------------------------------------------------------
380
                data_in => DO, dvd => dvd_2, atl => atl_2, lsb => lsb_2, rdy => rdy_2,
381
                ------------------------------------------------------------------------
382
                ------ external interface ----------------------------------------------
383
                ------------------------------------------------------------------------
384
                isLE => '0', secAddr => (others => '0'), dataSecAddr => dataSecAddr,
385
                buf_interrupt => buf_interrupt, data_available => data_available,
386
                last_byte_addr => last_byte_addr, end_of_stream => end_of_stream,
387
                byte_addr => byte_addr, data_out => data_out,
388
                reset_buffer => reset_buffer
389
        );
390
 
391
        w_data_in <= w_write_buffer(conv_integer(w_byte_addr));
392
 
393
        gw: gpibWriter generic map (ADDR_WIDTH => 4) port map (
394
                        clk => clk, reset => reset,
395
                        ------------------------------------------------------------------------
396
                        ------ GPIB interface --------------------------------------------------
397
                        ------------------------------------------------------------------------
398
                        data_out => data_1, wnc => wnc_1, spa => spa_1, nba => nba_1,
399
                        endOf => endOf_1, att => att_1, cwrc => cwrc_1,
400
                        ------------------------------------------------------------------------
401
                        ------ external interface ----------------------------------------------
402
                        ------------------------------------------------------------------------
403
                        isTE => '0', secAddr => (others => '0'), dataSecAddr => (others => '0'),
404
                        last_byte_addr => w_last_byte_addr, end_of_stream => w_end_of_stream,
405
                        data_available => w_data_available, buf_interrupt => w_buf_interrupt,
406
                        data_in => w_data_in, byte_addr => w_byte_addr,
407
                        reset_buffer => w_reset_buffer
408
                );
409
 
410
        -- Clock process definitions
411
        clk_process :process
412
        begin
413
                clk <= '0';
414
                wait for clk_period/2;
415
                clk <= '1';
416
                wait for clk_period/2;
417
        end process;
418
 
419
 
420
        -- Stimulus process
421
        stim_proc: process
422
        begin
423
                -- hold reset state for 10 clock periods.
424
                reset <= '1';
425
                wait for clk_period*10;
426
                reset <= '0';
427
                wait for clk_period*10;
428
 
429
                -- requests system control
430
                rsc_1 <= '1';
431
                -- interface clear
432
                sic_1 <= '1';
433
                wait until IFC_1 = '1';
434
                sic_1 <= '0';
435
                wait until IFC_1 = '0';
436
 
437
                -- gpib2 to listen
438
                w_write_buffer(0) <= "00100010";
439
                -- gpib1 to talk
440
                w_write_buffer(1) <= "01000001";
441
                -- PP configure
442
                w_write_buffer(2) <= "00000101";
443
                -- PP enable line no. 3
444
                w_write_buffer(3) <= "01101011";
445
                w_last_byte_addr <= "0011";
446
                w_end_of_stream <= '1';
447
                w_data_available <= '1';
448
 
449
                wait until w_buf_interrupt = '1';
450
 
451
                ist_2 <= '1';
452
 
453
                rpp_1 <= '1';
454
 
455
                wait until ppr_1 = '1';
456
 
457
                assert DO = "00001000";
458
 
459
                rpp_1 <= '0';
460
 
461
                wait until cwrc_1 = '1';
462
 
463
                w_reset_buffer <= '1';
464
                wait for clk_period*1;
465
                w_reset_buffer <= '0';
466
                wait for clk_period*1;
467
 
468
                -- PP disable
469
                w_write_buffer(0) <= "01110000";
470
                w_last_byte_addr <= "0000";
471
                w_data_available <= '1';
472
 
473
                wait until w_buf_interrupt = '1';
474
 
475
                lpeUsed_2 <= '1';
476
                lpe_2 <= '1';
477
 
478
                rpp_1 <= '1';
479
 
480
                wait until ppr_1 = '1';
481
 
482
                assert DO = "00000010";
483
 
484
                report "$$$ END OF TEST - parallel poll $$$";
485
 
486
                wait;
487
        end process;
488
 
489
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.