OpenCores
URL https://opencores.org/ocsvn/graphicallcd/graphicallcd/trunk

Subversion Repositories graphicallcd

[/] [graphicallcd/] [trunk/] [test.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 maihde
 
2
-- VHDL Test Bench Created from source file test_lcd.vhd -- 14:39:34 12/15/2003
3
--
4
-- Notes: 
5
-- This testbench has been automatically generated using types std_logic and
6
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends 
7
-- that these types always be used for the top-level I/O of a design in order 
8
-- to guarantee that the testbench will bind correctly to the post-implementation 
9
-- simulation model.
10
--
11
LIBRARY ieee;
12
USE ieee.std_logic_1164.ALL;
13
USE ieee.numeric_std.ALL;
14
 
15
ENTITY testbench IS
16
END testbench;
17
 
18
ARCHITECTURE behavior OF testbench IS
19
 
20
        COMPONENT test_lcd
21
        PORT(
22
                clk : IN std_logic;
23
                rst : IN std_logic;
24
                db : INOUT std_logic_vector(7 downto 0);
25
                done : OUT std_logic;
26
                e : OUT std_logic;
27
                r_w : OUT std_logic;
28
                cs1 : OUT std_logic;
29
                cs2 : OUT std_logic;
30
                d_i : OUT std_logic;
31
                ram_dis : OUT std_logic
32
                );
33
        END COMPONENT;
34
 
35
        SIGNAL done :  std_logic;
36
        SIGNAL e :  std_logic;
37
        SIGNAL r_w :  std_logic;
38
        SIGNAL cs1 :  std_logic;
39
        SIGNAL cs2 :  std_logic;
40
        SIGNAL d_i :  std_logic;
41
        SIGNAL db :  std_logic_vector(7 downto 0);
42
        SIGNAL clk :  std_logic;
43
        SIGNAL rst :  std_logic;
44
        SIGNAL ram_dis :  std_logic;
45
 
46
BEGIN
47
 
48
        uut: test_lcd PORT MAP(
49
                done => done,
50
                e => e,
51
                r_w => r_w,
52
                cs1 => cs1,
53
                cs2 => cs2,
54
                d_i => d_i,
55
                db => db,
56
                clk => clk,
57
                rst => rst,
58
                ram_dis => ram_dis
59
        );
60
 
61
 
62
-- *** Test Bench - User Defined Section ***
63
   tb : PROCESS
64
   BEGIN
65
      wait; -- will wait forever
66
   END PROCESS;
67
-- *** End Test Bench - User Defined Section ***
68
 
69
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.