OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v1p0/] [sim/] [top/] [sim.bat] - Blame information for rev 43

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 edn_walter
title %CD%
2
 
3
SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
4
SET MODEL_TECH=C:\modeltech_6.5b\win32
5
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin
6
 
7
vsim -do sim.do

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.