OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v1p0/] [sim/] [top/] [sim.do] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 edn_walter
quit -sim
2
vlib work
3
vdel -lib work -all
4
vlib work
5
 
6
# compile vendor independent files
7
vlog -work work ../../rtl/top/ha1588.v +initreg+0
8
vlog -work work ../../rtl/reg/reg.v +initreg+0
9
vlog -work work ../../rtl/rtc/rtc.v +initreg+0
10
vlog -work work ../../rtl/tsu/tsu.v +initreg+0
11
vlog -work work ../../rtl/tsu/ptp_queue.v +initreg+0
12
vlog -work work ../../rtl/tsu/ptp_parser.v +initreg+0
13
 
14
# compile vendor dependent files
15
vlog -work work altera_mf.v
16
 
17
# compile testbench files
18
vlog -work work -sv ha1588_tb.v
19
 
20
# compile driver bfm files
21
vlog -work work -sv ptp_drv_bfm/ptp_drv_bfm.v
22
 
23
# compile driver bfm files
24
# Sytemverilog DPI steps to combine sv and c
25
# step 1: generate dpiheader.h
26
vlog -work work -sv -dpiheader dpiheader.h ptp_drv_bfm/ptp_drv_bfm.v
27
# step 2: generate ptp_drv_bfm.obj
28
vsim -dpiexportobj ptp_drv_bfm_sv ptp_drv_bfm_sv
29
# step 3: generate ptp_drv_bfm_c.obj
30
gcc -c -I $::env(MODEL_TECH)/../include ptp_drv_bfm/ptp_drv_bfm.c
31
# step 4: generate ptp_drv_bfm_c.dll
32
gcc -shared -Bsymbolic -o ptp_drv_bfm_c.dll ptp_drv_bfm.o \
33
    ptp_drv_bfm_sv.obj -L $::env(MODEL_TECH) -lmtipli
34
 
35
vsim -novopt \
36
     -sv_lib ptp_drv_bfm_c \
37
     -t ps \
38
     ha1588_tb
39
 
40
log -r */*
41
radix -hexadecimal
42
do wave.do
43
 
44
run 10000ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.