OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v1p1/] [rtl/] [reg/] [reg.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 edn_walter
/*
2 38 edn_walter
 * reg.v
3 34 edn_walter
 *
4 37 edn_walter
 * Copyright (c) 2012, BABY&HW. All rights reserved.
5 34 edn_walter
 *
6
 * This library is free software; you can redistribute it and/or
7
 * modify it under the terms of the GNU Lesser General Public
8
 * License as published by the Free Software Foundation; either
9
 * version 2.1 of the License, or (at your option) any later version.
10
 *
11
 * This library is distributed in the hope that it will be useful,
12
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
 * Lesser General Public License for more details.
15
 *
16
 * You should have received a copy of the GNU Lesser General Public
17
 * License along with this library; if not, write to the Free Software
18
 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston,
19
 * MA 02110-1301  USA
20
 */
21
 
22 15 edn_walter
`timescale 1ns/1ns
23
 
24 17 edn_walter
module rgs (
25 16 edn_walter
  // generic bus interface
26
  input         rst,clk,
27
  input         wr_in,rd_in,
28 21 edn_walter
  input  [ 7:0] addr_in,
29 16 edn_walter
  input  [31:0] data_in,
30
  output [31:0] data_out,
31
  // rtc interface
32 17 edn_walter
  input         rtc_clk_in,
33
  output        rtc_rst_out,
34
  output        time_ld_out,
35 16 edn_walter
  output [37:0] time_reg_ns_out,
36
  output [47:0] time_reg_sec_out,
37 17 edn_walter
  output        period_ld_out,
38 16 edn_walter
  output [39:0] period_out,
39 17 edn_walter
  output        adj_ld_out,
40 16 edn_walter
  output [31:0] adj_ld_data_out,
41 17 edn_walter
  output [39:0] period_adj_out,
42 38 edn_walter
  input         adj_ld_done_in,
43 16 edn_walter
  input  [37:0] time_reg_ns_in,
44
  input  [47:0] time_reg_sec_in,
45 18 edn_walter
  // rx tsu interface
46 37 edn_walter
  output         rx_q_rst_out,
47
  output         rx_q_rd_clk_out,
48
  output         rx_q_rd_en_out,
49
  input  [  7:0] rx_q_stat_in,
50
  input  [127:0] rx_q_data_in,
51 18 edn_walter
  // tx tsu interface
52 37 edn_walter
  output         tx_q_rst_out,
53
  output         tx_q_rd_clk_out,
54
  output         tx_q_rd_en_out,
55
  input  [  7:0] tx_q_stat_in,
56
  input  [127:0] tx_q_data_in
57 15 edn_walter
);
58
 
59 17 edn_walter
parameter const_00 = 8'h00;
60
parameter const_04 = 8'h04;
61
parameter const_08 = 8'h08;
62 18 edn_walter
parameter const_0c = 8'h0C;
63 17 edn_walter
parameter const_10 = 8'h10;
64
parameter const_14 = 8'h14;
65
parameter const_18 = 8'h18;
66 18 edn_walter
parameter const_1c = 8'h1C;
67 17 edn_walter
parameter const_20 = 8'h20;
68
parameter const_24 = 8'h24;
69
parameter const_28 = 8'h28;
70 18 edn_walter
parameter const_2c = 8'h2C;
71 17 edn_walter
parameter const_30 = 8'h30;
72
parameter const_34 = 8'h34;
73
parameter const_38 = 8'h38;
74 18 edn_walter
parameter const_3c = 8'h3C;
75 17 edn_walter
parameter const_40 = 8'h40;
76
parameter const_44 = 8'h44;
77
parameter const_48 = 8'h48;
78 18 edn_walter
parameter const_4c = 8'h4C;
79
parameter const_50 = 8'h50;
80
parameter const_54 = 8'h54;
81
parameter const_58 = 8'h58;
82
parameter const_5c = 8'h5C;
83 33 edn_walter
parameter const_60 = 8'h60;
84
parameter const_64 = 8'h64;
85
parameter const_68 = 8'h68;
86
parameter const_6c = 8'h6C;
87 37 edn_walter
parameter const_70 = 8'h70;
88
parameter const_74 = 8'h74;
89
parameter const_78 = 8'h78;
90
parameter const_7c = 8'h7C;
91 17 edn_walter
 
92 21 edn_walter
wire cs_00 = (addr_in[7:2]==const_00[7:2])? 1'b1: 1'b0;
93
wire cs_04 = (addr_in[7:2]==const_04[7:2])? 1'b1: 1'b0;
94
wire cs_08 = (addr_in[7:2]==const_08[7:2])? 1'b1: 1'b0;
95
wire cs_0c = (addr_in[7:2]==const_0c[7:2])? 1'b1: 1'b0;
96
wire cs_10 = (addr_in[7:2]==const_10[7:2])? 1'b1: 1'b0;
97
wire cs_14 = (addr_in[7:2]==const_14[7:2])? 1'b1: 1'b0;
98
wire cs_18 = (addr_in[7:2]==const_18[7:2])? 1'b1: 1'b0;
99
wire cs_1c = (addr_in[7:2]==const_1c[7:2])? 1'b1: 1'b0;
100
wire cs_20 = (addr_in[7:2]==const_20[7:2])? 1'b1: 1'b0;
101
wire cs_24 = (addr_in[7:2]==const_24[7:2])? 1'b1: 1'b0;
102
wire cs_28 = (addr_in[7:2]==const_28[7:2])? 1'b1: 1'b0;
103
wire cs_2c = (addr_in[7:2]==const_2c[7:2])? 1'b1: 1'b0;
104
wire cs_30 = (addr_in[7:2]==const_30[7:2])? 1'b1: 1'b0;
105
wire cs_34 = (addr_in[7:2]==const_34[7:2])? 1'b1: 1'b0;
106
wire cs_38 = (addr_in[7:2]==const_38[7:2])? 1'b1: 1'b0;
107
wire cs_3c = (addr_in[7:2]==const_3c[7:2])? 1'b1: 1'b0;
108
wire cs_40 = (addr_in[7:2]==const_40[7:2])? 1'b1: 1'b0;
109
wire cs_44 = (addr_in[7:2]==const_44[7:2])? 1'b1: 1'b0;
110
wire cs_48 = (addr_in[7:2]==const_48[7:2])? 1'b1: 1'b0;
111
wire cs_4c = (addr_in[7:2]==const_4c[7:2])? 1'b1: 1'b0;
112
wire cs_50 = (addr_in[7:2]==const_50[7:2])? 1'b1: 1'b0;
113
wire cs_54 = (addr_in[7:2]==const_54[7:2])? 1'b1: 1'b0;
114
wire cs_58 = (addr_in[7:2]==const_58[7:2])? 1'b1: 1'b0;
115
wire cs_5c = (addr_in[7:2]==const_5c[7:2])? 1'b1: 1'b0;
116 33 edn_walter
wire cs_60 = (addr_in[7:2]==const_60[7:2])? 1'b1: 1'b0;
117
wire cs_64 = (addr_in[7:2]==const_64[7:2])? 1'b1: 1'b0;
118
wire cs_68 = (addr_in[7:2]==const_68[7:2])? 1'b1: 1'b0;
119
wire cs_6c = (addr_in[7:2]==const_6c[7:2])? 1'b1: 1'b0;
120 37 edn_walter
wire cs_70 = (addr_in[7:2]==const_70[7:2])? 1'b1: 1'b0;
121
wire cs_74 = (addr_in[7:2]==const_74[7:2])? 1'b1: 1'b0;
122
wire cs_78 = (addr_in[7:2]==const_78[7:2])? 1'b1: 1'b0;
123
wire cs_7c = (addr_in[7:2]==const_7c[7:2])? 1'b1: 1'b0;
124 17 edn_walter
 
125 33 edn_walter
reg [31:0] reg_00;  // ctrl 5 bit
126 38 edn_walter
reg [31:0] reg_04;  // null
127
reg [31:0] reg_08;  // null
128
reg [31:0] reg_0c;  // null
129 39 edn_walter
reg [31:0] reg_10;  // time 16 bit s
130
reg [31:0] reg_14;  // time 32 bit s
131
reg [31:0] reg_18;  // time 30 bit ns
132
reg [31:0] reg_1c;  // time  8 bit nsf
133
reg [31:0] reg_20;  // peri  8 bit ns
134
reg [31:0] reg_24;  // peri 32 bit nsf
135
reg [31:0] reg_28;  // ajpr  8 bit ns
136
reg [31:0] reg_2c;  // ajpr 32 bit nsf
137 17 edn_walter
reg [31:0] reg_30;  // ajld 32 bit
138 38 edn_walter
reg [31:0] reg_34;  // null
139
reg [31:0] reg_38;  // null
140
reg [31:0] reg_3c;  // null
141 39 edn_walter
reg [31:0] reg_40;  // ctrl  2 bit
142 38 edn_walter
reg [31:0] reg_44;  // qsta  8 bit
143 39 edn_walter
reg [31:0] reg_48;  // null
144 38 edn_walter
reg [31:0] reg_4c;  // null
145 39 edn_walter
reg [31:0] reg_50;  // rxqu 32 bit
146
reg [31:0] reg_54;  // rxqu 32 bit
147
reg [31:0] reg_58;  // rxqu 32 bit
148
reg [31:0] reg_5c;  // rxqu 32 bit
149
reg [31:0] reg_60;  // ctrl  2 bit
150
reg [31:0] reg_64;  // qsta  8 bit
151
reg [31:0] reg_68;  // null
152
reg [31:0] reg_6c;  // null
153 37 edn_walter
reg [31:0] reg_70;  // txqu 32 bit
154
reg [31:0] reg_74;  // txqu 32 bit
155
reg [31:0] reg_78;  // txqu 32 bit
156
reg [31:0] reg_7c;  // txqu 32 bit
157 17 edn_walter
 
158
// write registers
159
always @(posedge clk) begin
160
  if (wr_in && cs_00) reg_00 <= data_in;
161
  if (wr_in && cs_04) reg_04 <= data_in;
162
  if (wr_in && cs_08) reg_08 <= data_in;
163
  if (wr_in && cs_0c) reg_0c <= data_in;
164
  if (wr_in && cs_10) reg_10 <= data_in;
165
  if (wr_in && cs_14) reg_14 <= data_in;
166
  if (wr_in && cs_18) reg_18 <= data_in;
167
  if (wr_in && cs_1c) reg_1c <= data_in;
168
  if (wr_in && cs_20) reg_20 <= data_in;
169
  if (wr_in && cs_24) reg_24 <= data_in;
170
  if (wr_in && cs_28) reg_28 <= data_in;
171
  if (wr_in && cs_2c) reg_2c <= data_in;
172
  if (wr_in && cs_30) reg_30 <= data_in;
173
  if (wr_in && cs_34) reg_34 <= data_in;
174
  if (wr_in && cs_38) reg_38 <= data_in;
175
  if (wr_in && cs_3c) reg_3c <= data_in;
176
  if (wr_in && cs_40) reg_40 <= data_in;
177
  if (wr_in && cs_44) reg_44 <= data_in;
178
  if (wr_in && cs_48) reg_48 <= data_in;
179
  if (wr_in && cs_4c) reg_4c <= data_in;
180 18 edn_walter
  if (wr_in && cs_50) reg_50 <= data_in;
181
  if (wr_in && cs_54) reg_54 <= data_in;
182
  if (wr_in && cs_58) reg_58 <= data_in;
183
  if (wr_in && cs_5c) reg_5c <= data_in;
184 33 edn_walter
  if (wr_in && cs_60) reg_60 <= data_in;
185
  if (wr_in && cs_64) reg_64 <= data_in;
186
  if (wr_in && cs_68) reg_68 <= data_in;
187
  if (wr_in && cs_6c) reg_6c <= data_in;
188 37 edn_walter
  if (wr_in && cs_70) reg_70 <= data_in;
189
  if (wr_in && cs_74) reg_74 <= data_in;
190
  if (wr_in && cs_78) reg_78 <= data_in;
191
  if (wr_in && cs_7c) reg_7c <= data_in;
192 17 edn_walter
end
193
 
194
// read registers
195
reg  [37:0] time_reg_ns_int;
196
reg  [47:0] time_reg_sec_int;
197 37 edn_walter
reg  [127:0] rx_q_data_int;
198
reg  [  7:0] rx_q_stat_int;
199
reg  [127:0] tx_q_data_int;
200
reg  [  7:0] tx_q_stat_int;
201 23 edn_walter
reg         time_ok;
202 31 edn_walter
reg         rxqu_ok;
203
reg         txqu_ok;
204 17 edn_walter
 
205
reg  [31:0] data_out_reg;
206
always @(posedge clk) begin
207 37 edn_walter
  // register mapping: RTC
208 38 edn_walter
  if (rd_in && cs_00) data_out_reg <= {reg_00[31: 2], adj_ld_done_in, time_ok};
209 33 edn_walter
  if (rd_in && cs_04) data_out_reg <= reg_04;
210
  if (rd_in && cs_08) data_out_reg <= reg_08;
211 18 edn_walter
  if (rd_in && cs_0c) data_out_reg <= reg_0c;
212 38 edn_walter
  if (rd_in && cs_10) data_out_reg <= {16'd0, time_reg_sec_int[47:32]};
213
  if (rd_in && cs_14) data_out_reg <=         time_reg_sec_int[31: 0] ;
214
  if (rd_in && cs_18) data_out_reg <= { 2'd0, time_reg_ns_int [37: 8]};
215
  if (rd_in && cs_1c) data_out_reg <= {24'd0, time_reg_ns_int [ 7: 0]};
216 18 edn_walter
  if (rd_in && cs_20) data_out_reg <= reg_20;
217
  if (rd_in && cs_24) data_out_reg <= reg_24;
218
  if (rd_in && cs_28) data_out_reg <= reg_28;
219
  if (rd_in && cs_2c) data_out_reg <= reg_2c;
220
  if (rd_in && cs_30) data_out_reg <= reg_30;
221
  if (rd_in && cs_34) data_out_reg <= reg_34;
222
  if (rd_in && cs_38) data_out_reg <= reg_38;
223
  if (rd_in && cs_3c) data_out_reg <= reg_3c;
224 39 edn_walter
  // register mapping: TSU RX
225
  if (rd_in && cs_40) data_out_reg <= {reg_40[31: 2], reg_40[ 1], rxqu_ok};
226 38 edn_walter
  if (rd_in && cs_44) data_out_reg <= {24'd0, rx_q_stat_int[ 7: 0]};
227 39 edn_walter
  if (rd_in && cs_48) data_out_reg <= reg_48;
228 38 edn_walter
  if (rd_in && cs_4c) data_out_reg <= reg_4c;
229 39 edn_walter
  if (rd_in && cs_50) data_out_reg <= rx_q_data_int[127: 96];
230
  if (rd_in && cs_54) data_out_reg <= rx_q_data_int[ 95: 64];
231
  if (rd_in && cs_58) data_out_reg <= rx_q_data_int[ 63: 32];
232
  if (rd_in && cs_5c) data_out_reg <= rx_q_data_int[ 31:  0];
233
  // register mapping: TSU TX
234
  if (rd_in && cs_60) data_out_reg <= {reg_60[31: 2], reg_60[ 1], txqu_ok};
235
  if (rd_in && cs_64) data_out_reg <= {24'd0, tx_q_stat_int[ 7: 0]};
236
  if (rd_in && cs_68) data_out_reg <= reg_68;
237
  if (rd_in && cs_6c) data_out_reg <= reg_6c;
238 37 edn_walter
  if (rd_in && cs_70) data_out_reg <= tx_q_data_int[127: 96];
239
  if (rd_in && cs_74) data_out_reg <= tx_q_data_int[ 95: 64];
240
  if (rd_in && cs_78) data_out_reg <= tx_q_data_int[ 63: 32];
241
  if (rd_in && cs_7c) data_out_reg <= tx_q_data_int[ 31:  0];
242 17 edn_walter
end
243
assign data_out = data_out_reg;
244
 
245 33 edn_walter
// register mapping: RTC
246 18 edn_walter
//wire       = reg_00[ 7];
247
//wire       = reg_00[ 6];
248
//wire       = reg_00[ 5];
249
wire rtc_rst = reg_00[ 4];
250
wire time_ld = reg_00[ 3];
251
wire perd_ld = reg_00[ 2];
252
wire adjt_ld = reg_00[ 1];
253
wire time_rd = reg_00[ 0];
254 17 edn_walter
assign time_reg_sec_out   [47:0] = {reg_10[15: 0], reg_14[31: 0]};
255
assign time_reg_ns_out    [37:0] = {reg_18[29: 0], reg_1c[ 7: 0]};
256
assign period_out         [39:0] = {reg_20[ 7: 0], reg_24[31: 0]};
257 38 edn_walter
assign period_adj_out     [39:0] = {reg_28[ 7: 0], reg_2c[31: 0]};
258 17 edn_walter
assign adj_ld_data_out    [31:0] =  reg_30[31: 0];
259 37 edn_walter
 
260 39 edn_walter
// register mapping: TSU RX
261 38 edn_walter
//wire       = reg_40[ 7];
262
//wire       = reg_40[ 6];
263
//wire       = reg_40[ 5];
264
//wire       = reg_40[ 4];
265 39 edn_walter
//wire       = reg_40[ 3];
266
//wire       = reg_40[ 2];
267
wire rxq_rst = reg_40[ 1];
268
wire rxqu_rd = reg_40[ 0];
269
 
270
// register mapping: TSU TX
271
//wire       = reg_60[ 7];
272
//wire       = reg_60[ 6];
273
//wire       = reg_60[ 5];
274
//wire       = reg_60[ 4];
275
//wire       = reg_60[ 3];
276
//wire       = reg_60[ 2];
277
wire txq_rst = reg_60[ 1];
278
wire txqu_rd = reg_60[ 0];
279
// TODO: add configurable PTP Event msgID value mask
280 37 edn_walter
// TODO: add configurable VLANTPID values
281 17 edn_walter
 
282
// real time clock
283 23 edn_walter
reg rtc_rst_s1, rtc_rst_s2, rtc_rst_s3;
284
assign rtc_rst_out = rtc_rst_s2 && !rtc_rst_s3;
285
always @(posedge rtc_clk_in) begin
286
  rtc_rst_s1 <= rtc_rst;
287
  rtc_rst_s2 <= rtc_rst_s1;
288
  rtc_rst_s3 <= rtc_rst_s2;
289 17 edn_walter
end
290
 
291 23 edn_walter
reg time_ld_s1, time_ld_s2, time_ld_s3;
292
assign time_ld_out = time_ld_s2 && !time_ld_s3;
293
always @(posedge rtc_clk_in) begin
294
  time_ld_s1 <= time_ld;
295
  time_ld_s2 <= time_ld_s1;
296
  time_ld_s3 <= time_ld_s2;
297 17 edn_walter
end
298
 
299 23 edn_walter
reg perd_ld_s1, perd_ld_s2, perd_ld_s3;
300
assign period_ld_out  = perd_ld_s2 && !perd_ld_s3;
301
always @(posedge rtc_clk_in) begin
302
  perd_ld_s1 <= perd_ld;
303
  perd_ld_s2 <= perd_ld_s1;
304
  perd_ld_s3 <= perd_ld_s2;
305 17 edn_walter
end
306
 
307 23 edn_walter
reg adjt_ld_s1, adjt_ld_s2, adjt_ld_s3;
308
assign adj_ld_out = adjt_ld_s2 && !adjt_ld_s3;
309
always @(posedge rtc_clk_in) begin
310
  adjt_ld_s1 <= adjt_ld;
311
  adjt_ld_s2 <= adjt_ld_s1;
312
  adjt_ld_s3 <= adjt_ld_s2;
313 17 edn_walter
end
314
 
315 23 edn_walter
// RTC time read CDC hand-shaking
316
reg time_rd_s1, time_rd_s2, time_rd_s3;
317
wire time_rd_ack = time_rd_s2 && !time_rd_s3;
318 17 edn_walter
always @(posedge rtc_clk_in) begin
319 23 edn_walter
  time_rd_s1 <= time_rd;
320
  time_rd_s2 <= time_rd_s1;
321
  time_rd_s3 <= time_rd_s2;
322 17 edn_walter
end
323
 
324
always @(posedge rtc_clk_in) begin
325 23 edn_walter
  if (time_rd_ack) begin
326 17 edn_walter
    time_reg_ns_int  <= time_reg_ns_in;
327
    time_reg_sec_int <= time_reg_sec_in;
328
  end
329
end
330
 
331 23 edn_walter
reg time_rd_d1;
332
wire time_rd_req = time_rd && !time_rd_d1;
333
always @(posedge clk) begin
334
  time_rd_d1 <= time_rd;
335
end
336
 
337
always @(posedge clk or posedge time_rd_ack) begin
338
  if (time_rd_ack)
339
    time_ok <= 1'b1;
340
  else if (time_rd_req)
341
    time_ok <= 1'b0;
342
end
343
 
344 18 edn_walter
// rx time stamp queue
345
assign rx_q_rd_clk_out = clk;
346 17 edn_walter
 
347 18 edn_walter
reg rxq_rst_d1, rxq_rst_d2, rxq_rst_d3;
348
assign rx_q_rst_out = rxq_rst_d2 && !rxq_rst_d3;
349 17 edn_walter
always @(posedge clk) begin
350 18 edn_walter
  rxq_rst_d1 <= rxq_rst;
351
  rxq_rst_d2 <= rxq_rst_d1;
352
  rxq_rst_d3 <= rxq_rst_d2;
353 17 edn_walter
end
354
 
355 31 edn_walter
reg rxqu_rd_d1, rxqu_rd_d2, rxqu_rd_d3, rxqu_rd_d4, rxqu_rd_d5;
356 18 edn_walter
assign rx_q_rd_en_out = rxqu_rd_d2 && !rxqu_rd_d3;
357 31 edn_walter
wire   rx_q_rd_req    = rxqu_rd_d2 && !rxqu_rd_d3;
358
wire   rx_q_rd_ack    = rxqu_rd_d4 && !rxqu_rd_d5;
359 17 edn_walter
always @(posedge clk) begin
360 18 edn_walter
  rxqu_rd_d1 <= rxqu_rd;
361
  rxqu_rd_d2 <= rxqu_rd_d1;
362
  rxqu_rd_d3 <= rxqu_rd_d2;
363 31 edn_walter
  rxqu_rd_d4 <= rxqu_rd_d3;
364
  rxqu_rd_d5 <= rxqu_rd_d4;
365 17 edn_walter
end
366
 
367
always @(posedge clk) begin
368 31 edn_walter
  if (rx_q_rd_ack)
369
    rxqu_ok <= 1'b1;
370
  else if (rx_q_rd_req)
371
    rxqu_ok <= 1'b0;
372
end
373
 
374
always @(posedge clk) begin
375 18 edn_walter
  rx_q_data_int <= rx_q_data_in;
376
  rx_q_stat_int <= rx_q_stat_in;
377 17 edn_walter
end
378
 
379 18 edn_walter
// tx time stamp queue
380
assign tx_q_rd_clk_out = clk;
381
 
382
reg txq_rst_d1, txq_rst_d2, txq_rst_d3;
383
assign tx_q_rst_out = txq_rst_d2 && !txq_rst_d3;
384
always @(posedge clk) begin
385
  txq_rst_d1 <= txq_rst;
386
  txq_rst_d2 <= txq_rst_d1;
387
  txq_rst_d3 <= txq_rst_d2;
388
end
389
 
390 31 edn_walter
reg txqu_rd_d1, txqu_rd_d2, txqu_rd_d3, txqu_rd_d4, txqu_rd_d5;
391 18 edn_walter
assign tx_q_rd_en_out = txqu_rd_d2 && !txqu_rd_d3;
392 31 edn_walter
wire   tx_q_rd_req    = txqu_rd_d2 && !txqu_rd_d3;
393
wire   tx_q_rd_ack    = txqu_rd_d4 && !txqu_rd_d5;
394 18 edn_walter
always @(posedge clk) begin
395
  txqu_rd_d1 <= txqu_rd;
396
  txqu_rd_d2 <= txqu_rd_d1;
397
  txqu_rd_d3 <= txqu_rd_d2;
398 31 edn_walter
  txqu_rd_d4 <= txqu_rd_d3;
399
  txqu_rd_d5 <= txqu_rd_d4;
400 18 edn_walter
end
401
 
402
always @(posedge clk) begin
403 31 edn_walter
  if (tx_q_rd_ack)
404
    txqu_ok <= 1'b1;
405
  else if (tx_q_rd_req)
406
    txqu_ok <= 1'b0;
407
end
408
 
409
always @(posedge clk) begin
410 18 edn_walter
  tx_q_data_int <= tx_q_data_in;
411
  tx_q_stat_int <= tx_q_stat_in;
412
end
413
 
414 15 edn_walter
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.