OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v1p2/] [rtl/] [sopc/] [ha1588_comp.v] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 edn_walter
// ha1588_comp.v
2
 
3
// This file was auto-generated as part of a SOPC Builder generate operation.
4
// If you edit it your changes will probably be lost.
5
 
6
module ha1588_comp (
7
                input  wire        clk,              //        clock.clk
8
                input  wire        rst,              //  clock_reset.reset
9
                input  wire        wr_in,            // avalon_slave.write
10
                input  wire        rd_in,            //             .read
11
                input  wire [7:0]  addr_in,          //             .address
12
                input  wire [31:0] data_in,          //             .writedata
13
                output wire [31:0] data_out,         //             .readdata
14
                input  wire        rtc_clk,          //    ref_clock.export
15
                output wire [31:0] rtc_time_ptp_ns,  //             .export
16
                output wire [47:0] rtc_time_ptp_sec, //             .export
17
                input  wire        rx_gmii_clk,      // gmii_monitor.export
18
                input  wire        rx_gmii_ctrl,     //             .export
19
                input  wire [7:0]  rx_gmii_data,     //             .export
20
                input  wire        tx_gmii_clk,      //             .export
21
                input  wire        tx_gmii_ctrl,     //             .export
22
                input  wire [7:0]  tx_gmii_data      //             .export
23
        );
24
 
25
        ha1588 #(
26
                .addr_is_in_word (1)
27
        ) ha1588_comp (
28
                .clk              (clk),              //        clock.clk
29
                .rst              (rst),              //  clock_reset.reset
30
                .wr_in            (wr_in),            // avalon_slave.write
31
                .rd_in            (rd_in),            //             .read
32
                .addr_in          (addr_in),          //             .address
33
                .data_in          (data_in),          //             .writedata
34
                .data_out         (data_out),         //             .readdata
35
                .rtc_clk          (rtc_clk),          //    ref_clock.export
36
                .rtc_time_ptp_ns  (rtc_time_ptp_ns),  //             .export
37
                .rtc_time_ptp_sec (rtc_time_ptp_sec), //             .export
38
                .rx_gmii_clk      (rx_gmii_clk),      // gmii_monitor.export
39
                .rx_gmii_ctrl     (rx_gmii_ctrl),     //             .export
40
                .rx_gmii_data     (rx_gmii_data),     //             .export
41
                .tx_gmii_clk      (tx_gmii_clk),      //             .export
42
                .tx_gmii_ctrl     (tx_gmii_ctrl),     //             .export
43
                .tx_gmii_data     (tx_gmii_data)      //             .export
44
        );
45
 
46
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.