OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v1p2/] [rtl/] [sopc/] [ha1588_inst.sopc] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 edn_walter
2
3
 
4
{
5
   element clk_0
6
   {
7
      datum _sortIndex
8
      {
9
         value = "0";
10
         type = "int";
11
      }
12
   }
13 48 edn_walter
   element ha1588_comp
14 20 edn_walter
   {
15
      datum _sortIndex
16
      {
17
         value = "1";
18
         type = "int";
19
      }
20
   }
21
   element ha1588_inst
22
   {
23
   }
24 48 edn_walter
   element master_bfm
25 20 edn_walter
   {
26
      datum _sortIndex
27
      {
28
         value = "2";
29
         type = "int";
30
      }
31
   }
32
}
33
]]>
34
 
35
 
36
 
37 48 edn_walter
 
38 20 edn_walter
 
39
 
40
 
41
 
42
 
43
 
44 48 edn_walter
 
45
 
46 20 edn_walter
 
47
  
48
  
49
  
50
  
51
 
52 48 edn_walter
 
53
  
54 20 edn_walter
  
55
 
56
 
57
   kind="altera_avalon_mm_master_bfm"
58
   version="10.1"
59
   enabled="1"
60 48 edn_walter
   name="master_bfm">
61
  
62 20 edn_walter
  
63
  
64
  
65
  
66
  
67
  
68
  
69
  
70 48 edn_walter
  
71 25 edn_walter
  
72 20 edn_walter
  
73
  
74
  
75
  
76
  
77
  
78
  
79
  
80
  
81
  
82
  
83
  
84
  
85
  
86
  
87
  
88
  
89
  
90 48 edn_walter
  
91 25 edn_walter
  
92
  
93 20 edn_walter
  
94 48 edn_walter
  
95
  
96 20 edn_walter
  
97
  
98
  
99
  
100
 
101 48 edn_walter
 
102
 
103 20 edn_walter
 
104
   kind="avalon"
105
   version="10.1"
106 48 edn_walter
   start="master_bfm.m0"
107
   end="ha1588_comp.avalon_slave">
108 20 edn_walter
  
109
  
110
 
111

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.