OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v1p2/] [sim/] [tsu/] [wave.do] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 edn_walter
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_clk
4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/gmii_ctrl
5
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/gmii_data
6
add wave -noupdate -divider {New Divider}
7
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_req
8
add wave -noupdate -format Literal -radix hexadecimal /tsu_queue_tb/DUT_RX/rtc_time_stamp
9
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack
10
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/ts_ack_clr
11
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/tsu_time_stamp
12
add wave -noupdate -divider {New Divider}
13
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_gmii_ctrl
14
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_gmii_data
15
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_bcnt
16
add wave -noupdate -divider {New Divider}
17
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid
18
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop
19
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop
20
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
21
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
22
add wave -noupdate -divider {New Divider}
23
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/int_cnt
24
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv4_cnt
25
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv6_cnt
26
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_udp_cnt
27
add wave -noupdate -divider {New Divider}
28
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_valid_d1
29
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_sop_d1
30
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/int_eop_d1
31
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod_d1
32
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data_d1
33
add wave -noupdate -divider {New Divider}
34
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan
35
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_mpls
36
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4
37
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv6
38
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp
39
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l2
40
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l4
41
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
42
add wave -noupdate -divider {New Divider}
43
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_data_d1
44
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
45
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_data
46
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
47
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
48
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_cksum
49
add wave -noupdate -divider {New Divider}
50
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
51
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
52
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_clk
53
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_en
54
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wr_data
55
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/q_wrusedw
56
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdclk
57
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdreq
58
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/queue/rdusedw
59
add wave -noupdate -divider {New Divider}
60
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/BFM_RX/num_rx
61
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/rx_ptp_event_cnt
62
add wave -noupdate -divider {New Divider}
63
TreeUpdate [SetDefaultTree]
64
WaveRestoreCursors {{Cursor 1} {39134000 ps} 0}
65
configure wave -namecolwidth 188
66
configure wave -valuecolwidth 165
67
configure wave -justifyvalue left
68
configure wave -signalnamewidth 0
69
configure wave -snapdistance 10
70
configure wave -datasetprefix 0
71
configure wave -rowmargin 4
72
configure wave -childrowmargin 2
73
configure wave -gridoffset 0
74
configure wave -gridperiod 1
75
configure wave -griddelta 40
76
configure wave -timeline 0
77
configure wave -timelineunits ns
78
update
79
WaveRestoreZoom {175156 ps} {398339 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.