OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v2p0/] [sim/] [tsu/] [tsu_queue_tb.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ash_riple
`timescale 1ns/1ns
2
 
3
module tsu_queue_tb;
4
 
5
reg        rst;
6
wire       gmii_rxclk;
7
wire       gmii_rxctrl;
8
wire [7:0] gmii_rxdata;
9
wire       gmii_txclk;
10
wire       gmii_txctrl;
11
wire [7:0] gmii_txdata;
12
reg        rtc_timer_clk;
13
reg [79:0] rtc_timer_in;
14
 
15
initial begin
16
  DUT_RX.ts_ack = 1'b0;
17
 
18
      rst = 1'b0;
19
  #10 rst = 1'b1;
20
  #20 rst = 1'b0;
21
end
22
 
23
initial begin
24
             rtc_timer_clk = 1'b0;
25
  forever #4 rtc_timer_clk = !rtc_timer_clk;
26
end
27
 
28
initial begin
29
                                   rtc_timer_in = 80'd0;
30
  forever @(posedge rtc_timer_clk) rtc_timer_in = rtc_timer_in +1;
31
end
32
 
33
tsu_queue DUT_RX
34
  (
35
    .rst(rst),
36
 
37
    .gmii_clk(gmii_rxclk),
38
    .gmii_ctrl(gmii_rxctrl),
39
    .gmii_data(gmii_rxdata),
40
 
41
    .rtc_timer_clk(rtc_timer_clk),
42
    .rtc_timer_in(rtc_timer_in)
43
  );
44
 
45
gmii_rx_bfm BFM_RX
46
  (
47
    .gmii_rxclk(gmii_rxclk),
48
    .gmii_rxctrl(gmii_rxctrl),
49
    .gmii_rxdata(gmii_rxdata)
50
  );
51
 
52
tsu_queue DUT_TX
53
  (
54
    .rst(rst),
55
 
56
    .gmii_clk(gmii_txclk),
57
    .gmii_ctrl(gmii_txctrl),
58
    .gmii_data(gmii_txdata),
59
 
60
    .rtc_timer_clk(rtc_timer_clk),
61
    .rtc_timer_in(rtc_timer_in)
62
  );
63
 
64
gmii_tx_bfm BFM_TX
65
  (
66
    .gmii_txclk(gmii_txclk),
67
    .gmii_txctrl(gmii_txctrl),
68
    .gmii_txdata(gmii_txdata)
69
  );
70
 
71
endmodule
72
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.