OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [tags/] [v2p0/] [sys/] [xilinx_xps/] [cleanup.sh] - Blame information for rev 76

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 73 ash_riple
rm -f -r etc hdl synthesis implementation simulation __xps data isim
2
rm -f *.log *.opt *.cmd *.make *.bxml *.bmm *.mss *.xmsgs *.wdb *.exe
3
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.