OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [par/] [xilinx/] [ReadMe.txt] - Blame information for rev 73

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 73 ash_riple
1. Double click ha1588.ppr to open the PlanAhead IDE.
2
2. Run "source ha1588.tcl" to setup the project.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.