OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [par/] [xilinx/] [ha1588.tcl] - Blame information for rev 68

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 68 ash_riple
create_project -force ha1588 . -part xc7z020clg484-1
2
set_property board zc702 [current_project]
3
 
4
add_files -norecurse ha1588.ucf
5
add_files -norecurse ./ip/define.h
6
set_property is_global_include true [get_files  ./ip/define.h]
7
add_files -norecurse ./ip/dcfifo_128b_16.ngc
8
add_files -norecurse ./ip/dcfifo_128b_16.v
9
add_files -norecurse ../../rtl/top/ha1588.v
10
add_files -norecurse ../../rtl/reg/reg.v
11
add_files -norecurse ../../rtl/rtc/rtc.v
12
add_files -norecurse ../../rtl/tsu/tsu.v
13
add_files -norecurse ../../rtl/tsu/ptp_parser.v
14
add_files -norecurse ../../rtl/tsu/ptp_queue.v
15
 
16
reset_run synth_1
17
reset_run impl_1
18
 
19
#launch_runs synth_1 -jobs 1
20
#wait_on_run synth_1
21
 
22
#launch_runs impl_1 -jobs 1
23
#wait_on_run impl_1
24
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.